13 research outputs found

    Oncoplastic breast consortium recommendations for mastectomy and whole breast reconstruction in the setting of post-mastectomy radiation therapy

    Get PDF
    Aim: Demand for nipple-and skin-sparing mastectomy (NSM/SSM) with immediate breast reconstruction (BR) has increased at the same time as indications for post-mastectomy radiation therapy (PMRT) have broadened. The aim of the Oncoplastic Breast Consortium initiative was to address relevant questions arising with this clinically challenging scenario. Methods: A large global panel of oncologic, oncoplastic and reconstructive breast surgeons, patient advocates and radiation oncologists developed recommendations for clinical practice in an iterative process based on the principles of Delphi methodology. Results: The panel agreed that surgical technique for NSM/SSM should not be formally modified when PMRT is planned with preference for autologous over implant-based BR due to lower risk of long-term complications and support for immediate and delayed-immediate reconstructive approaches. Nevertheless, it was strongly believed that PMRT is not an absolute contraindication for implant-based or other types of BR, but no specific recom-mendations regarding implant positioning, use of mesh or timing were made due to absence of high-quality evidence. The panel endorsed use of patient-reported outcomes in clinical practice. It was acknowledged that the shape and size of reconstructed breasts can hinder radiotherapy planning and attention to details of PMRT techniques is important in determining aesthetic outcomes after immediate BR. Conclusions: The panel endorsed the need for prospective, ideally randomised phase III studies and for surgical and radiation oncology teams to work together for determination of optimal sequencing and techniques for PMRT for each patient in the context of BRPeer reviewe

    A review of learning in biologically plausible spiking neural networks

    Get PDF
    Artificial neural networks have been used as a powerful processing tool in various areas such as pattern recognition, control, robotics, and bioinformatics. Their wide applicability has encouraged researchers to improve artificial neural networks by investigating the biological brain. Neurological research has significantly progressed in recent years and continues to reveal new characteristics of biological neurons. New technologies can now capture temporal changes in the internal activity of the brain in more detail and help clarify the relationship between brain activity and the perception of a given stimulus. This new knowledge has led to a new type of artificial neural network, the Spiking Neural Network (SNN), that draws more faithfully on biological properties to provide higher processing abilities. A review of recent developments in learning of spiking neurons is presented in this paper. First the biological background of SNN learning algorithms is reviewed. The important elements of a learning algorithm such as the neuron model, synaptic plasticity, information encoding and SNN topologies are then presented. Then, a critical review of the state-of-the-art learning algorithms for SNNs using single and multiple spikes is presented. Additionally, deep spiking neural networks are reviewed, and challenges and opportunities in the SNN field are discussed

    Membrane and trench etch processes for large area projection masks in nano lithography

    No full text
    In der vorliegenden Dissertation wurden neuartige Membran- und Struktur-Ätzprozesse für Loch-masken (Stencilmasken) und Si- bzw. Si3N4-Membranmasken mit strukturiertem Al-Metallabsorber entwickelt. Prozesse von grundsätzlicher Bedeutung für die Herstellung von mikromechanischen Bauteilen und Membranmasken sind erarbeitet worden. Si-Stencilmasken für die Ionen-Projektions-Lithografie (IPL) und Ionen-Projektions-Direkt- Strukturierung (IPDS) von Magnetspeicherschichten wurden in einem 150mm Wafer-Flow-Prozess gefertigt. Ein völlig neuer Ansatz lag dabei in der Verwendung von SOI Wafern als Ausgangsmaterial für die Maskenherstellung. Mit Hilfe der Elektronenstrahllithografie wurde die Lackmaske strukturiert; anschließend die Strukturen mit einem Trockenätzprozess in die SOI Schicht übertragen. Dieser Trockenätzprozess wurde mit einer SF6/C4F8 Gas Chopping Ätz Technik (GCÄT) bis auf die vergrabene Oxidschicht durchgeführt. Sub 200nm Loch- und Linienstrukturen konnten in eine 3µm SOI Schicht maßhaltig und mit einem definierten Öffnungswinkel übertragen werden. Die Möglich-keit einer weiteren Verkleinerung der lateralen Si-Strukturdimensionen in den sub 100nm Bereich über Auffüllprozesse wurde experimentell erprobt und die Anwendung für das ESE (Electrostatic Step Exposure)-Maskenkonzept diskutiert. Mit der GCÄT wurden zudem Si-Ätzprozesse für mikromechanische Anwendungen und Stencilmasken von 10µm-100µm Ätztiefe und komplette Waferdurchätzungen entwickelt. In einen 150nm dicken Al-Absorber konnten auf 150-200nm dicken Si- und Si3N4-Membranen Minimalstrukturen von 150nm realisiert werden. Alle Struktur-Ätzprozesse wurden hinsichtlich Maßhaltigkeit, Profil und Selektivität zur Maskierschicht geprüft und charakterisiert. Für die Si-Membranätzung wurden verschiedene Nassätzprozesse in KOH- und TMAH-Lösungen und Kombinationen aus Trocken- und Nassätzschritten entwickelt. Die verschiedenen Membran-Ätzprozesse wurden systematisch untersucht und mit den bisher vorhandenen Theorien verglichen. Durch eine spezielle Prozessführung bei der Membran-Trockenätzung konnte die Ätzhomogenität um den Faktor 3 verbessert werden. Bei der Nassätzung konnte mit chemischen Zusätzen in TMAH-Lösungen die Ausbeute, Ätzrate und Selektivität zu Dielektrika bzw. Metallschichten erheblich gesteigert werden. Großflächige und strukturierte Si-Membranen mit einem kreisförmigen Durch-messer von 126mm, einer Membrandicke von 1,8µm-3µm und einem Öffnungsgrad von >60% konnten hergestellt werden. 100-200nm dicke Si- und Si3N4-Membranmasken konnten, sowohl mit als auch ohne Al-Absorberstruktur mit Membrangrößen bis zu 125mm2 realisiert werden.The focal point of this thesis is the development and characterization of new Si membrane and trench etch processes to fabricate stencil masks and Si or Si3N4 membrane masks with structured Al absorption layers. New fabrication processes of fundamental importance for the manufacture of micro-mechanical devices and membrane masks have been demonstrated. Si stencil masks for Ion Projection Lithography (IPL) in CMOS technology and Ion Projection Direct Structuring (IPDS) of magnetic media layers for storage technology have been fabricated in a 150mm wafer process. A new approach in this work was the the use of SOI (Silicon on Insulator) wafers as the base material for the mask. The SOI wafers were patterned by electron beam direct writing of the pattern into a resist layer, and a Si dry etch process was used to transfer this pattern into the SOI wafer. The dry etch process used to etch the Si down to the buried oxide layer was the SF6/C4F8 Gas Chopping Etch Technique (GCET). Sub-200nm hole structures and line structures, both with controlled opening angles, have been realized in a 3µm thick SOI layer. The possibility of further reducing the lateral Si feature sizes into the sub-100nm region by using a partial filling process has been experimentally proven and the application of this technique to implement the ESE (Electrostatic Step Exposure) mask concept has been discussed. GCET was also used to develop Si dry etch processes suitable for micro-mechanical applications, including processes with etch depths of 10µm and 100µm, and a process that can etch completely through a silicon wafer. Minimum lateral feature sizes of 150nm have been realized in a 150nm thick Al absorption layer on top of 150-200nm thick Si or Si3N4 membrane layers. All the Si and Al etch processes have been characterized with respect to the minimum feature size attainable, etch profile, and etch rate selectivity to the mask layers utilized. For Si membrane etching, different wet chemical etch processes using KOH and TMAH solutions, and combined wet and dry etch processes have been developed. The different membrane etch processes have been investigated systematically and compared with existing theoretical models. As a result of a special process flow for the membrane dry etch, the etch uniformity was improved by a factor of 3. The use of chemical additives in the TMAH wet etch solution resulted in a considerable improvement in the yield, etch rate, and selectivity to different dielectric and metal layers. Large-area structured Si membranes with a circular diameter of 126mm, a membrane thickness of 1.8µm-3.0µm, and an open area of >60% have been realized.100-200nm thick Si and Si3N4 membrane masks, with and without Al absorption layers, and with membrane areas up to 125mm2 have been fabricated
    corecore