171 research outputs found

    Spatiotemporal patterns on the appearance of the first trapeze industries in the Late Mesolithic of the Iberian Peninsula

    Get PDF
    The spread of trapeze industries (the creation of trapeze-shaped flint tips) during Late Mesolithic is one of the most disruptive phenomena of technological change documented in the European Prehistory. Understanding the chronological patterns of this process requires (i) a critical evaluation of stratigraphic relationship between trapeze assemblages and radiocarbon samples, and (ii) considering different levels of chronological uncertainty according to the inbuilt age of the samples and the calibration process. In this paper, we critically evaluate and analyze the radiocarbon record of the first trapeze industries in the Iberian Peninsula. A dataset of 181 radiocarbon dates from 67 sites dated to 8800–8200 cal BP was collected and evaluated following a strict data quality control protocol, from which 135 dates of 53 sites were retained and classified according to a reliability index. Then, three different phase Bayesian chronological models were created to estimate the duration of the first spread of trapezes across Iberia, considering different levels of chrono-stratigraphic resolution. We find that trapeze industries appeared in the eastern half of Iberia, over an area of 330,000 km2 between 8505–8390 and 8425–8338 cal BP, spanning 0–85 yr (95.4% CI). When the oldest evidence of trapezes from Portugal are considered, the probability distribution expands (8943–8457 and 8686–7688 cal BP), due to the chronological uncertainty of human samples with marine diet and regional ΔR values applied. For the eastern half of Iberia, the current evidence indicates a very rapid spread of trapeze industries initiated in the Central-Western Pyrenees, suggesting cultural diffusion within Mesolithic social networks as the main driving mechanism.This work was funded by the European Research Council (ref. ERC-CoG 2015) under the European Union’s Horizon 2020 research and innovation programme (grant agreement no. 683018) to J.F.L.d.P. This coauthor was also supported by grant no. 2018/040 from the CIDEGENT Excellence programme of Generalitat Valenciana

    Narrativas nacionales y pensamiento histórico en los libros de texto de Educación Secundaria de España y Francia. Análisis a partir del tratamiento de los contenidos de la Edad Moderna

    Get PDF
    El objetivo principal de este trabajo es indagar en las narrativas históricas y en las competencias desarrolladas en los libros de texto de Historia en Educación Secundaria, comparando los contenidos y el pensamiento histórico propuesto por los manuales escolares franceses y españoles sobre la Edad Moderna. Se ha realizado un estudio exploratorio con un tema transversal: las unidades didácticas de la Edad Moderna en 2º de ESO en España y 4º de Collège en Francia. Este estudio ha analizado de una forma comparativa tanto los contenidos sustantivos que presentan los manuales (qué conocimientos históricos se proponen en estos materiales educativos), como los contenidos estratégicos (cómo se presentan esos conocimientos y qué tipo de habilidades cognitivas se le exige al alumnado). Los datos muestran resultados dispares. Por un lado la nación (su origen y consolidación) sigue siendo el principal sujeto histórico en los manuales. Pero existe una gran diferencia en las competencias históricas propuestas al alumnado en España (con un aprendizaje más memorístico) y en Francia (con un análisis más profundo de las fuentes históricas)

    Depopulation and digital divide: Conflict or opportunity? - Without signal

    Get PDF
    Treball Final de Grau en Periodisme. Codi: PE0932. Curs acadèmic: 2019/2020La despoblación es un problema que afecta a 73 pueblos de los 135 que tiene la provincia de Castelló, según los datos de la Diputació. Desde hace unos años, tanto el gobierno autonómico como el provincial está tomando medidas para combatir tal cuestión. La brecha digital es uno de los puntos más en contra que tienen estos municipios ya que muchos “únicamente cuentan con el 2G”. Este reportaje pretende mostrar cuál es la situación real de lugares como Herbés, localidad con solo 42 habitantes, dentro de la comarca de Els Ports, una de las más afectadas por el abandono de la población y también responder a la pregunta de si las nuevas tecnologías pueden ser una opción de crecimiento para las zonas despobladas. Durante el trabajo, recogemos declaraciones de profesionales especializados, como el sociólogo rural, Artur Aparici, así como cargos públicos como el diputado del Área de Desarrollo Rural de la Diputació de Castelló. Además, se da voz a personas que viven en primera persona el reto del despoblamiento y cómo les afecta la gran diferencia digital en comparación con las grandes ciudades.Depopulation is a problem that affects 73 towns out of the 135 that the province of Castelló has, according to data from the Provincial Council. For a few years now, both the autonomous and provincial governments have been taking measures to combat this issue. The digital divide is one of the most against points that these municipalities have since many “only have 2G”. This report aims to show what is the real situation of places like Herbés, a town with only 42 inhabitants, within the Els Ports region, one of the most affected by the abandonment of the population, and also answer the question of whether new technologies They can be a growth option for depopulated areas. During the work, we collect statements from specialized professionals, such as the rural sociologist, Artur Aparici, as well as public officials such as the deputy of the Rural Development Area of the Diputació de Castelló. In addition, people who live the challenge of depopulation and how the great digital difference affects them compared to large cities are given a voice.Depopulation is a problem that affects 73 towns out of the 135 that the province of Castelló has, according to data from the Provincial Council. For a few years now, both the autonomous and provincial governments have been taking measures to combat this issue. The digital divide is one of the most against points that these municipalities have since many “only have 2G”. This report aims to show what is the real situation of places like Herbés, a town with only 42 inhabitants, within the Els Ports region, one of the most affected by the abandonment of the population, and also answer the question of whether new technologies They can be a growth option for depopulated areas. During the work, we collect statements from specialized professionals, such as the rural sociologist, Artur Aparici, as well as public officials such as the deputy of the Rural Development Area of the Diputació de Castelló. In addition, people who live the challenge of depopulation and how the great digital difference affects them compared to large cities are given a voice

    Aplicación del sistema de informacion arqueológica SIDGEIPA a la gestión de la excavación de urgencia del yacimiento la Colata (Montavener, Valencia)

    Get PDF
    La aplicación del programa Sidgeipa en la excavación de urgencia realizada en el yacimiento de La Colata (Montaverner, Valencia), ha permitido documentar de forma rápida y fiable, casi un centenar de estructuras negativas, de diversa tipología y atribución cronológica. Una de las características más eficaces de Sidgeipa reside en su capacidad para almacenar, de forma razonable, la gran cantidad de datos generados en la excavación, las coordenadas tridimensionales de todas las estructuras registradas, los materiales hallados en el interior de sus rellenos (incluidos los individuos enterrados) y toda la información correspondiente a las Unidades Estratigráficas que hayan sido distinguidas. La reconstrucción, tanto de cada una de las estructuras como de plantas generales en las que se relacionen dichas estructuras, facilita la comprensión de las distribuciones espaciales y las transformaciones que ha experimentado el yacimiento, desde su formación hasta el momento de su descubrimiento. La documentación arqueológica generada por la aplicación de Sidgeipa en este yacimiento se podría ampliar, fácilmente, en un futuro si se realizaran nuevos obras, que requirieran trabajos arqueológicos en parcelas contiguas a las intervenidas.The application of SIDGEIPA in the excavation carried out at the La Colata site (Montaverner, Valencia), has allowed the rapid and reliable documentation of almost a hundred negative structures, of different typology and chronological attribution. One of the most effective features of Sidgeipa lies in its ability to reasonably store the large amount of data generated in the excavation, the three-dimensional coordinates of all registered structures, the materials found inside its landfills (including buried individuals) and all information corresponding to the Stratigraphical Units that have been distinguished. The reconstruction, both of each of the structures and of general plants in which these structures are related, facilitates the understanding of the spatial distributions and the transformations that the site has undergone, since its formation until the moment of its discovery. The archaeological documentation generated by SIDGEIPA in this site could easily be expanded in the future if new works were carried out, which required archaeological works in plots adjacent to the one dug this time

    Reforma sanitaria, salud pública y bienestar biológico durante la industrialización española: el caso de Alcoy, 1840-1915

    Get PDF
    This article analyses the effects of the sanitary reform on the biological welfare and the Health of the Spanish population during the industrialization process. Examines the case of Alcoy, one of the pioneer hubs of the Spanish industrialization. The main sources of the study are the municipality budgets of Public Health and the stature of the conscripts. Results show that the starting of the sanitary reform, in the late 19th, meant not only an improvement of the conditions and the salubrity of the city, but of the resident´s state of health. Until the liberal politicians did not increase the public health and the urban infrastructures assignments on the Budgets, Alcoy suffered the initial problems of the urban penalty, with negative effects that were revealed directly in the increasing morbi-mortality and the falling stature. Data suggest a positive correlation between active public health politics and biological welfare.En este artículo analizamos los efectos que la reforma sanitaria tuvo sobre el bienestar biológico y la salud de las poblaciones españolas durante el proceso de industrialización. Examinamos el caso de Alcoy, una de las ciudades pioneras de la industrialización española. Los presupuestos municipales en salud pública y los datos de estatura de los reemplazos militares constituyen las principales fuentes para su estudio. Los resultados muestran que los comienzos de la reforma sanitaria, a finales del siglo XIX, supusieron una mejora no sólo de las condiciones ambientales y de salubridad de la ciudad, sino también del estado de salud de su población residente. Hasta que los políticos liberales no incrementaron las dotaciones presupuestarias en el campo de la salud pública y las infraestructuras urbanas, Alcoy sufrió los lastres iniciales del urban penalty con secuelas negativas que se manifestaron directamente en el aumento de la morbi-mortalidad y la caída de la estatura. Los datos sugieren una relación positiva entre políticas activas de salud pública y bienestar biológico

    Chemical Modification of Microcin J25 Reveals New Insights on the Stereospecific Requirements for Antimicrobial Activity

    Get PDF
    In this study, microcin J25, a potent antimicrobial lasso peptide that acts on Gram-negative bacteria, was subjected to a harsh treatment with a base in order to interrogate its stability and mechanism of action and explore its structure-activity relationship. Despite the high stability reported for this lasso peptide, the chemical treatment led to the detection of a new product. Structural studies revealed that this product retained the lasso topology, but showed no antimicrobial activity due to the epimerization of a key residue for the activity. Further microbiological assays also demonstrated that it showed a high synergistic effect with colistin

    FOS: a low-power cache organization for multicores

    Get PDF
    [EN] The cache hierarchy of current multicore processors typically consists of one or two levels of private caches per core and a large shared last-level cache. This approach incurs area and energy wasting due to oversizing the private cache space, data replication through the inclusive cache levels, as well as the use of highly set-associative caches. In this paper, we claim that although this is the commonly adopted approach, it presents important design issues that can be addressed by a more energy efficient organization. This work proposes Flat On-chip Storage (FOS), a novel cache organization that, aimed at addressing energy and area on low-power processors, resolves the mentioned issues. For this purpose, FOS combines L2 and L3 cache levels into a single one, organized as a flat space, and composed of a pool of private small cache slices. These slices are initially powered off to save energy, and they are powered on and assigned to cores provided that the system performance is expected to improve. To provide fast and uniform access from the private L1 caches to the FOS's cache slices, multiple architectural challenges are overcome, which entails the design of a custom optical network-on-chip. Experimental results show that FOS achieves significant energy savings on both static and dynamic energy over conventional cache organizations with the same storage capacity. FOS static energy savings are as much as 60% over an electrically connected shared cache; these savings grow up to 75% compared to optically connected baselines. Moreover, despite deactivating part of the cache space, FOS achieves similar performance values as those achieved by conventional approaches.Puche-Lara, J.; Petit Martí, SV.; Sahuquillo Borrás, J.; Gómez Requena, ME. (2019). FOS: a low-power cache organization for multicores. The Journal of Supercomputing (Online). 75(10):6542-6573. https://doi.org/10.1007/s11227-019-02858-xS654265737510Awasthi M, Sudan K, Balasubramonian R, Carter J (2009) Dynamic hardware-assisted software-controlled page placement to manage capacity allocation and sharing within large caches. In: 2009 IEEE 15th International Symposium on High Performance Computer Architecture, pp 250–261. https://doi.org/10.1109/HPCA.2009.4798260Baer J, Low D, Crowley P, Sidhwaney N (2003) Memory hierarchy design for a multiprocessor look-up engine. In: 12th International Conference on Parallel Architectures and Compilation Techniques (PACT 2003)Bahirat S, Pasricha S (2014) Meteor: hybrid photonic ring-mesh network-on-chip for multicore architectures. ACM Trans Embed Comput Syst 13(3s):116:1–116:33. https://doi.org/10.1145/2567940Bartolini S, Grani P (2012) A simple on-chip optical interconnection for improving performance of coherency traffic in CMPS. In: 15th Euromicro Conference on Digital System Design, pp 312–318. https://doi.org/10.1109/DSD.2012.13Beckmann BM, Marty MR, Wood DA (2006) ASR: adaptive selective replication for CMP caches. In: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 39. IEEE Computer Society, Washington, DC, USA, pp 443–454. https://doi.org/10.1109/MICRO.2006.10Beckmann N, Sanchez D (2013) Jigsaw: scalable software-defined caches. In: Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques, PACT ’13. IEEE Press, Piscataway, NJ, USA, pp 213–224. https://doi.org/10.1109/PACT.2013.6618818Bergman K, Carloni LP, Bibermani AC, Hendry G (2014) Photonic network-on-chip design, vol 68. Springer, New YorkChang J, Sohi GS (2006) Cooperative caching for chip multiprocessors. In: Proceedings 33rd Annual International Symposium on Computer Architecture, pp 264–276. https://doi.org/10.1109/ISCA.2006.17Chen G, Chen H, Haurylau M, Nelson N, Fauchet PM, Friedman EG, Albonesi D (2005) Predictions of CMOS compatible on-chip optical interconnect. In: Proceedings of International Workshop on System Level Interconnect Prediction, SLIP ’05, pp 13–20Chishti Z, Powell MD, Vijaykumar TN (2005) Optimizing replication, communication, and capacity allocation in cmps. SIGARCH Comput Archit News 33(2):357–368. https://doi.org/10.1145/1080695.1070001Cho S, Jin L (2006) Managing distributed, shared l2 caches through os-level page allocation. In: 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’06), pp 455–468. https://doi.org/10.1109/MICRO.2006.31Cianchetti MJ, Kerekes JC, Albonesi DH (2009) Phastlane: a rapid transit optical routing network. In: Proceedings of the 36th Annual International Symposium on Computer Architecture, ISCA’09, pp 441–450. https://doi.org/10.1145/1555754.1555809Demir Y, Hardavellas N (2015) Parka: thermally insulated nanophotonic interconnects. In: NOCS ’15, pp 1:1–1:8. https://doi.org/10.1145/2786572.2786597Duan GH, Fedeli JM, Keyvaninia S, Thomson D (2012) 10 gb/s integrated tunable hybrid iii-v/si laser and silicon mach-zehnder modulator. In: European Conference and Exhibition on Optical Communication. https://doi.org/10.1364/ECEOC.2012.Tu.4.E.2Dybdahl H, Stenstrom P (2007) An adaptive shared/private NUCA cache partitioning scheme for chip multiprocessors. In: 2007 IEEE 13th International Symposium on High Performance Computer Architecture, pp 2–12. https://doi.org/10.1109/HPCA.2007.346180García A, Fernández R, Garca JM, Bartolini S (2014) Managing resources dynamically in hybrid photonic-electronic networks-on-chip. Concurr Comput Pract Exp 26(15):2530–2550. https://doi.org/10.1002/cpe.3332Hardavellas N, Ferdman M, Falsafi B, Ailamaki A (2009) Reactive NUCA: near-optimal block placement and replication in distributed caches. SIGARCH Comput Archit News 37(3):184–195. https://doi.org/10.1145/1555815.1555779Herrero E, González J, Canal R (2008) Distributed cooperative caching. In: Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques, PACT ’08, pp 134–143. https://doi.org/10.1145/1454115.1454136Herrero E, González J, Canal R (2010) Elastic cooperative caching: an autonomous dynamically adaptive memory hierarchy for chip multiprocessors. In: Proceedings of the 37th Annual International Symposium on Computer Architecture, ISCA ’10, pp 419–428. https://doi.org/10.1145/1815961.1816018Huh J, Kim C, Shafi H, Zhang L, Burger D, Keckler SW (2005) A NUCA substrate for flexible CMP cache sharing. In: Proceedings of the 19th Annual International Conference on Supercomputing, ICS ’05. ACM, pp 31–40. https://doi.org/10.1145/1088149.1088154Kahng AB, Li B, Peh LS, Samadi K (2009) Orion 2.0: a fast and accurate NoC power and area model for early-stage design space exploration. In: DATE. European Design and Automation Association, pp 423–428Kaxiras S, Hu Z, Martonosi M (2001) Cache decay: exploiting generational behavior to reduce cache leakage power. In: Proceedings of the 28th Annual International Symposium on Computer Architecture, ISCA’01, pp 240–251Kim S, Chandra D, Solihin D (2004) Fair cache sharing and partitioning in a chip multiprocessor architecture. In: PACT, pp 111–122Merino J, Puente V, Gregorio JA (2010) ESP-NUCA: a low-cost adaptive non-uniform cache architecture. In: HPCA-16 2010 the Sixteenth International Symposium on High-performance Computer Architecture, pp 1–10. https://doi.org/10.1109/HPCA.2010.5416641Morris R, Kodi AK, Louri A (2012) Dynamic reconfiguration of 3d photonic networks-on-chip for maximizing performance and improving fault tolerance. In: 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture, pp 282–293. https://doi.org/10.1109/MICRO.2012.34Muralimanohar N, Balasubramonian R, Jouppi NP (2009) Cacti 6.0: a tool to model large caches. In: HP LaboratoriesPang J, Dwyer C, Lebeck AR (2013) Exploiting emerging technologies for nanoscale photonic networks-on-chip. In: Proceedings of 6th International Workshop on NoC Architectures, NoCArc ’13, pp 53–58Petit S, Sahuquillo J, Such JM, Kaeli DR (2005) Exploiting temporal locality in drowsy cache policies. In: Proceedings of the Second Conference on Computing Frontiers, Ischia, Italy, 4–6 May 2005, pp 371–377Pons L, Selfa V, Sahuquillo J, Petit S, Pons J (2018) Improving system turnaround time with intel CAT by identifying LLC critical applications. In: Euro-Par 2018—Parallel Processing—24th International Conference on Parallel and Distributed Computing, Turin, Italy, 27–31 Aug 2018, Proceedings, pp 603–615. https://doi.org/10.1007/978-3-319-96983-1_43Qureshi M, Patt Y (2006) Utility-based cache partitioning: a low-overhead, high-performance, runtime mechanism to partition shared caches. In: MICRO, pp 423–432Rivers JA, Tam ES, Tyson GS, Davidson ES, Farrens MK (1998) Utilizing reuse information in data cache management. In: Proceedings of the 12th International Conference on Supercomputing, ICS 1998, Melbourne, Australia, 13–17 July 1998, pp 449–456. https://doi.org/10.1145/277830.277941Rosenfeld P, Cooper-Balis E, Jacob B (2011) Dramsim2: a cycle accurate memory system simulator. IEEE Comput Archit Lett 10:16–19. https://doi.org/10.1109/L-CA.2011.4Sahuquillo J, Pont A (1999) The filter cache: a run-time cache management approach1. In: 25th EUROMICRO ’99 Conference, Informatics: Theory and Practice for the New Millenium, 8–10 Sept 1999, Milan, Italy, pp 1424–1431. https://doi.org/10.1109/EURMIC.1999.794504Sahuquillo J, Pont A (2000) Splitting the data cache: a survey. IEEE Concurr 8(3):30–35. https://doi.org/10.1109/4434.865890Selfa V, Sahuquillo J, Eeckhout L, Petit S, Gómez ME (2017) Application clustering policies to address system fairness with intel’s cache allocation technology. In: 26th International Conference on Parallel Architectures and Compilation Techniques, PACT 2017, Portland, OR, USA, 9–13 Sept 2017, pp 194–205. https://doi.org/10.1109/PACT.2017.19Shacham A, Bergman K, Carloni L (2007) On the design of a photonic network-on-chip. In: Networks-on-Chip, NOCS 2007, pp 53–64Soref R, Bennett B (1987) Electrooptical effects in silicon. IEEE J Quantum Electron 23(1):123–129. https://doi.org/10.1109/JQE.1987.1073206Henning JL (2006) SPEC CPU2006 benchmark descriptions. SIGARCH Comput Archit News 34(4):1–17. https://doi.org/10.1145/1186736.1186737Tsai PA, Beckmann N, Sanchez D (2017) Jenga: software-defined cache hierarchies. SIGARCH Comput Archit News 45(2):652–665. https://doi.org/10.1145/3140659.3080214Ubal R, Sahuquillo J, Petit S, Lopez P (2007) Multi2sim: a simulation framework to evaluate multicore-multithreaded processors. In: International Symposium on Computer Architecture and High Performance Computing, pp 62–68. https://doi.org/10.1109/SBAC-PAD.2007.17Valero A, Sahuquillo J, Petit S, López P, Duato J (2012) Combining recency of information with selective random and a victim cache in last-level caches. ACM Trans Archit Code Optim 9(3):16:1–16:20. https://doi.org/10.1145/2355585.2355589Vantrease D, Binkert N, Schreiber R, Lipasti M (2009) Light speed arbitration and flow control for nanophotonic interconnects. In: Microarchitecture, 2009. MICRO-42. 42nd Annual IEEE/ACM International Symposium, pp 304–315Werner S, Navaridas J, Lujan M (2017) Designing low-power, low-latency networks-on-chip by optimally combining electrical and optical links. In: 2017 IEEE International Symposium of High Performance Computer Architectur

    Late Glacial and Early Holocene human demographic responses to climatic and environmental change in Atlantic Iberia

    Get PDF
    Successive generations of hunter-gatherers of the Late Glacial and Early Holocene in Iberia had to contend with rapidly changing environments and climatic conditions. This constrained their economic resources and capacity for demographic growth. The Atlantic façade of Iberia was occupied throughout these times and witnessed very significant environmental transformations. Archaeology offers a perspective on how past human population ecologies changed in response to this scenario. Archaeological radiocarbon data are used here to reconstruct demographics of the region over the long term. We introduce various quantitative methods that allow us to develop palaeodemographic and spatio-temporal models of population growth and density, and compare our results to independent records of palaeoenvironmental and palaeodietary change, and growth rates derived from skeletal data. Our results demonstrate that late glacial population growth was stifled by the Younger Dryas stadial, but populations grew in size and density during the Early to Middle Holocene transition. This growth was fuelled in part by an increased dependence on marine and estuarine food sources, demonstrating how the environment was linked to demographic change via the resource base, and ultimately the carrying capacity of the environment. This article is part of the theme issue 'Cross-disciplinary approaches to prehistoric demography'.FCT: DL 57/2016/CP1361/CT0026info:eu-repo/semantics/publishedVersio

    SIDGEIPA: an Archaeological Information System

    Get PDF
    En el artículo se presenta un nuevo sistema de información arqueológica: SIDGEIPA -SIstema Distribuido para la GEstión Integral del Patrimonio Arqueológico- SIDGEIPA va más allá de la adaptación de un Sistema de Información Geográfica a la Gestión del Patrimonio arqueológico. Las características más destacables de SIDGEIPA son: el estar desarrollado en código Java lo que implica su capacidad multiplataforma; automatización de la matriz Harris, se trata de una aplicación única con la que se pueden realizar todos los procesos informáticos relacionados con la gestión del patrimonio arqueológico (Dibujo asistido por ordenador, base de datos, procesado de imágenes y otros. Los datos que se presentan se realizaron en la excavación de dos yacimientos arqueológicos del Holoceno Inicial en la Comunidad Valenciana: el Mas D’Is (Penàguila, Àlacant) en realidad la primera aldea neolítica localizada en el Mediterráneo peninsular; y Mangraneres (Andilla, València), pequeño yacimiento al aire libre con niveles mesolíticos y neolíticos. Ambos se encuadrar dentro del proyecto AMAPA (Agricultura y Medio Ambiente de los Primeros Agricultores).In our paper we discuss the different aspects of the process we have followed to develop a completely new software to manage Archaeological Parks. The software named SIDGEIPA (Distributed System for Integral Management of Archaeological Parks) includes different modules allowing user to store archaeological data from different sources (excavation, survey, scientific literature, museum collections...) and to process them automatically in order to simplify archaeological research. The new software has been tested in the AMAPA project (Archaeology and Environment of the first agriculturalist in Mediterranean Spain) including a full implementation of it at the excavation level in the Mas D’Is case (a Neolithic Impressed-Ware site). At the conference we will show examples of how the SIDGEIPA software is able to develop a Harrix Matrix and to model 3D reconstructions. Both Technical aspects and archaeological question will be presented and discussed. Examples of the software functionality will be exposed including Geographical Information Systems, Computes Assisted Design and database management. Development of the software have been possible to financial aid from the FEDER program of the European Union being consequence of the full integration of two research projects the Rural Archaeological Park implemented in the Alcaoia-El Comtat valleys (Alacant, Spain) and the Distributed System for Integral Management of Archaeological

    Fondos arqueológicos del Museo Histórico Minero Don Felipe de Borbón y Grecia: Museo Histórico-Minero Don Felipe de Borbón y Grecia

    Get PDF
    El Museo Histórico Minero Don Felipe de Borbón y Grecia tiene como principal objetivo conservar y dar a conocer el rico patrimonio de la Escuela Técnica Superior de Ingenieros de Minas, de la Universidad Politécnica de Madrid. A lo largo de sus 227 años de vida, en la Escuela se han acumulado materiales variados (minerales y rocas, fósiles, conchas, instrumentos de medición y enseñanza, lámparas de mina, maquetas de procesos industriales y mineros, valiosos libros históricos, mapas y documentos, cartas y apuntes, piezas arqueológicas...) que se trata de preservar cuidadosamente, en primer lugar por su alto valor intrínseco (científico, industrial, histórico), pero también porque constituyen el testimonio de las aportaciones de muchos ingenieros, profesores, geólogos y, en general, personas vinculadas con la Institución, que a lo largo de estos dos siglos largos han dejado en ella lo mejor de sus vidas profesionales. Un museo de estas características es singular por sus contenidos, y muy difícil de clasificar. Es, desde luego, histórico, y tal es el principal sentido que se le pretende dar en la actualidad. Pero también es, o pretende ser, didáctico y universitario, porque no renuncia a la función docente y formativa que puede derivarse de la adecuada exposición de sus contenidos. A la vez público (por su pertenencia a la Universidad y su apertura al público en general) y privado (porque su origen está en colecciones cedidas a la Escuela por particulares para fines específicos). Es un Museo de Ciencias y de la Ciencia, porque una parte importante de sus colecciones está formada por ejemplares de minerales, fósiles y rocas, y porque contiene elementos que han servido para generar y transmitir la ciencia desde finales del siglo XVIII. A aquéllos que lean este libro les puede sorprender la presencia en el Museo de una rica y variada, aunque no muy extensa, colección de piezas arqueológicas. La explicación debe buscarse en el origen y desarrollo de las investigaciones arqueológicas en España, que tiene lugar en el siglo XIX. En los primeros estudios arqueológicos tuvieron un papel destacado algunos ilustres ingenieros de minas, profesores de la Escuela, como D. Guillermo Schulz y D. Casiano de Prado. Muchas publicaciones suyas avalan su gran conocimiento y profundo interés por la Arqueología naciente
    corecore