201 research outputs found

    Fabrication and Characterization of AlGaN/GaN Metal-Insulator-Semiconductor High Electron Mobility Transistors for High Power Applications

    Get PDF
    AlGaN/GaN metal–insulator–semiconductor high electron mobility transistors (MIS-HEMTs) are promising candidates for next generation high-efficiency and high-voltage power applications. The excellent physical properties of GaN-based materials, featuring high critical electric field and large carrier saturation velocity, combined to the high carrier density and large mobility of the two-dimensional electron gas confined at the AlGaN/GaN interface, enable higher power density minimizing power losses and self-heating of the device. However, the advent of the GaN-based MIS-HEMT to the industrial production is still hindered by technological challenges that are being faced in parallel. Among them, one of the biggest challenge is represented by the insertion of a gate dielectric in MIS-HEMTs compared to Schottky-gate HEMTs, which causes operational instability due to the presence of high-density trap states located at the dielectric/III-nitride interface or within the dielectric. The development of a gold-free ohmic contact technology is another important concern since the high-volume and cost-effective production of GaN-based transistors also depends on the cooperative manufacturing of GaN-based devices in Si production facilities, where gold represents an undesidered source of contamination. In fact, even though over the past years there have been multiple attemps to develop gold-free ohmic contacts, there is still no full understanding of the contact formation and current transport mechanism. The first objective of this work was the investigation of a gold-free and low-resistive ohmic contact technology to AlGaN/GaN based on sputtered Ta/Al-based metal stacks annealed at low temperatures. A low contact resistance below 1 Ω mm was obtained using Ta/Al-based metal stacks annealed at temperatures below 600 °C. The ohmic behavior and the contact properties of contact resistance, optimum annealing temperature and thermal stability of Ta/Al-based contacts were studied. The nature of the current transport was also investigated indicating a contact mechanism governed by thermionic field emission tunneling through the AlGaN barrier. Finally, gold-free Ta/Al-based ohmic contacts were integrated in MIS-HEMTs fabricated on a 150 mm GaN-on- Si substrate, demonstrating to be a promising contact technology for AlGaN/GaN devices and revealing to be beneficial for devices operating at high temperatures. The optimization of the MIS-gate structure in terms of trap states at the dielectric/III-nitride interface and inside the dielectric in MIS-HEMTs using atomic layer deposited (ALD) Al2O3 as gate insulator was the second focus of this work. First, the MIS-gate structure was improved by an O2 plasma surface preconditioning applied before the Al2O3 deposition and by an N2 postmetallization anneal applied after gate metallization, which significantly reduced trap states at the Al2O3/GaN interface and within the dielectric. Afterwards, the effectiveness of these treatments was demonstrated in Al2O3-AlGaN/GaN MIS-HEMTs by pulsed current–voltage measurements revealing improved threshold voltage stability. Lastly, it was shown that also the lower annealing temperatures used for the formation of Ta/Al-based ohmic contacts, processed before gate dielectric deposition, are beneficial in terms of trap states at the ALD-Al2O3/GaN interface, representing a new aspect to be considered when using an ohmic first fabrication approach

    Electrical characterization of MS and MIS structures on AlGaN/AlN/GaN heterostructures

    Get PDF
    The forward and reverse bias I-V, C-V, and G/ω-V characteristics of (Ni/Au) Schottky barrier diodes (SBDs) on the Al 0.22Ga 0.78N/AlN/GaN high-electron-mobility-transistor (HEMTs) without and with SiN x insulator layer were measured at room temperature in order to investigate the effects of the insulator layer (SiN x) on the main electrical parameters such as the ideality factor (n), zero-bias barrier height ( B0), series resistance (R s), interface-state density (N ss). The energy density distribution profiles of the N ss were obtained from the forward bias I-V characteristics by taking into account the voltage dependence of the effective barrier height ( e) and ideality factor (n V) of devices. In addition, the N ss as a function of E c-E ss was determined from the low-high frequency capacitance methods. It was found that the values of N ss and R s in SBD HEMTs decreases with increasing insulator layer thickness. © 2010 Elsevier Ltd. All rights reserved

    Design, Fabrication and Characterization of GaN HEMTs for Power Switching Applications

    Get PDF
    The unique properties of the III-nitride heterostructure, consisting of gallium nitride (GaN), aluminium nitride (AlN) and their ternary compounds (e.g. AlGaN, InAlN), allow for the fabrication of high electron mobility transistors (HEMTs). These devices exhibit high breakdown fields, high electron mobilities and small parasitic capacitances, making them suitable for wireless communication and power electronic applications. In this work, GaN-based power switching HEMTs and low voltage, short-channel HEMTs were designed, fabricated, and characterized.In the first part of the thesis, AlGaN/GaN-on-SiC high voltage metal-insulator-semiconductor (MIS)HEMTs fabricated on a novel ‘buffer-free’ heterostructure are presented. This heterostructure effectively suppresses buffer-related trapping effects while maintaining high electron confinement and low leakage currents, making it a viable material for high voltage, power electronic HEMTs. This part of the thesis covers device processing techniques to minimize leakage currents and maximize breakdown voltages in these ‘buffer-free’ MISHEMTs. Additionally, a recess-etched, Ta-based, ohmic contact process was utilized to form low-resistive ohmic contacts with contact resistances of 0.44-0.47 Ω∙mm. High voltage operation can be achieved by employing a temperature-stable nitrogen implantation isolation process, which results in three-terminal breakdown fields of 98-123 V/μm. By contrast, mesa isolation techniques exhibit breakdown fields below 85 V/μm and higher off-state leakage currents. Stoichiometric low-pressure chemical vapor deposition (LPCVD) SiNx passivation layers suppress gate currents through the AlGaN barrier below 10 nA/mm over 1000 V, which is more than two orders of magnitude lower compared to Si-rich SiNx passivation layers. A 10% dynamic on-resistance increase at 240 V was measured in HEMTs with stoichiometric SiNx passivation, which is likely caused by slow traps with time constants over 100 ms. SiNx gate dielectrics display better electrical isolation at high voltages compared to HfO2 and Ta2O5. However, the two gate oxides exhibit threshold voltages (Vth) above -2 V, making them a promising alternative for the fabrication of recess-etched normally-off MISHEMTs.Reducing the gate length (Lg) to minimize losses and increase the operating frequency in GaN HEMTs also entails more severe short-channel effects (SCEs), limiting gain, output power and the maximum off-state voltage. In the second part of the thesis, SCEs were studied in short-channel GaN HEMTs using a drain-current injection technique (DCIT). The proposed method allows Vth to be obtained for a wide range of drain-source voltages (Vds) in one measurement, which then can be used to calculate the drain-induced barrier lowering (DIBL) as a rate-of-change of Vth with respect to Vds. The method was validated using HEMTs with a Fe-doped GaN buffer layer and a C-doped AlGaN back-barrier with thin channel layers. Supporting technology computer-aided design (TCAD) simulations indicate that the large increase in DIBL is caused by buffer leakage. This method could be utilized to optimize buffer design and gate lengths to minimize on-state losses and buffer leakage currents in power switching HEMTs

    Micro- and Nanotechnology of Wide Bandgap Semiconductors

    Get PDF
    Owing to their unique characteristics, direct wide bandgap energy, large breakdown field, and excellent electron transport properties, including operation at high temperature environments and low sensitivity to ionizing radiation, gallium nitride (GaN) and related group III-nitride heterostructures proved to be enabling materials for advanced optoelectronic and electronic devices and systems. Today, they are widely used in high performing short wavelength light emitting diodes (LEDs) and laser diodes (LDs), high performing radar, wireless telecommunications, as well ‘green’ power electronics. Impressive progress in GaN technology over the last 25 years has been driven by a continuously growing need for more advanced systems, and still new challenges arise and need to be solved. Actually, lighting industry, RF defene industry, and 5G mmWave telecommunication systems are driving forces for further intense research in order to reach full potential of GaN-based semiconductors. In the literature, there is a number of review papers and publications reporting technology progress and indicating future trends. In this Special Issue of Electronics, eight papers are published, the majority of them focusing materials and process technology of GaN-based devices fabricated on native GaN substrates. The specific topics include: GaN single crystalline substrates for electronic devices by ammonothermal and HVPE methods, Selective – Area Metalorganic Vapour – Phase Epitaxy of GaN and AlGaN/GaN hetereostructures for HEMTs, Advances in Ion Implantation of GaN and Related Materials including high pressure processing (lattice reconstruction) of ion implanted GaN (Mg and Be) and III-Nitride Nanowires for electronic and optoelectronic devices

    Optimization of Ohmic Contacts and Surface Passivation for ‘Buffer-Free’ GaN HEMT Technologies

    Get PDF
    Gallium nitride high electron mobility transistors (GaN HEMTs) draw attention from high frequency and high power industries due to unique properties including high electron mobility and saturation velocity combined with high breakdown voltage. This makes GaN HEMTs suitable for power devices with high switching speed and high frequency applications with high power density requirements. However, the device performance is still partly limited by problems associated with the formation of low resistivity ohmic contact, trapping effects, and the confinement of the two-dimensional electron gas (2DEG).\ua0\ua0\ua0 In this work, reproducible deeply recessed Ta-based ohmic contacts with a low contact resistance of 0.2 - 0.3 Ωmm, a low annealing temperature of 550 - 600 \ub0C, and a large process window were optimized. Low annealing temperature reduces the risk of 2DEG degradation and promotes better morphology of the ohmic contacts. Deeply recessed ohmic contacts beyond the barrier layers make the process less sensitive to the etching depth since the ohmic contacts are formed on the sidewall of the recess. The concept of deeply recessed low resistivity ohmic contacts is also successfully demonstrated on different epi-structures with different barrier designs.\ua0\ua0\ua0 Passivation with silicon nitride (SiN) is an effective method to suppress electron trapping effects. Low Pressure Chemical Vapor Deposition (LPCVD) of SiN has shown to result in high quality dielectrics with excellent passivation effect. However, the surface traps are not fully removed after passivation due to dangling-bonds and native oxide layer at the interface of passivation and epi-structure. Therefore, a plasma-free in-situ NH3 pretreatment method before the deposition of the SiN passivation was studied. The samples with the pretreatment present a 38% lower surface-related current collapse and a 50% lower dynamic on-resistance than the samples without the pretreatment. The improved dynamic performance and lower dispersion directly yield a 30% higher output power of (3.4 vs. 2.6 W/mm) and a better power added efficiency (44% vs. 39%) at 3 GHz. Furthermore, it was found that a longer pretreatment duration improves the uniformity of device performance.\ua0\ua0\ua0 Traditionally, decreasing leakage currents in the buffer and improving electron confinement to the 2DEG are achieved by intentional acceptor-like dopants (iron and carbon) in the GaN buffer and back-barrier layer made by a ternary III-nitride material. However, electron trapping effects and thermal resistivity increase due to the dopants and the ternary material, respectively. In this thesis, a novel approach, where a unique epitaxial scheme permits a thickness reduction of the unintentional-doped (UID) GaN layer down to 250 nm, as compared to a normal thickness of 2 μm. In this way, the AlN nucleation layer effectively act as a back-barrier. The approached, named QuanFINE is investigated and benchmarked to a conventional epi-structure with a thick Fe-doped-GaN buffer. A 2DEG mobility of 2000 cm^2/V-s and the 2DEG concentration of 1.1∙10^13 cm^-2 on QuanFINE indicate that the 2DEG properties are not sacrificed with a thin UID-GaN layer. Thanks to the thin UID-GaN layer of QuanFINE, trapping effects are reduced. Comparable output power of 4.1 W/mm and a PAE of 40% at 3 GHz of both QuanFINE and conventional Fe-doped thick GaN buffer sample are measured

    AlN/GaN MOS-HEMTs technology

    Get PDF
    The ever increasing demand for higher power devices at higher frequencies has prompted much research recently into the aluminium nitride/gallium nitride high electron mobility transistors (AlN/GaN HEMTs) in response to theoretical predictions of higher performance devices. Despite having superior material properties such as higher two-dimensional electron gas (2DEG) densities and larger breakdown field as compared to the conventional aluminium gallium nitride (AlGaN)/GaN HEMTs, the AlN/GaN devices suffer from surface sensitivity, high leakage currents and high Ohmic contact resistances. Having very thin AlN barrier layer of ∼ 3 nm makes the epilayers very sensitive to liquids coming in contact with the surface. Exposure to any chemical solutions during device processing degrades the surface properties, resulting in poor device performance. To overcome the problems, a protective layer is employed during fabrication of AlN/GaN-based devices. However, in the presence of the protective/passivation layers, formation of low Ohmic resistance source and drain contact becomes even more difficult. In this work, thermally grown aluminium oxide (Al2O3) was used as a gate di- electric and surface passivation for AlN/GaN metal-oxide-semiconductor (MOS)-HEMTs. Most importantly, the Al2O3 acts as a protection layer during device processing. The developed technique allows for a simple and effective wet etching optimisation using 16H3PO4:HNO3:2H2O solution to remove Al from the Ohmic contact regions prior to the formation of Al2O3 and Ohmic metallisation. Low Ohmic contact resistance (0.76Ω.mm) as well as low sheet resistance (318Ω/square) were obtained after optimisation. Significant reduction in the gate leakage currents was observed when employing an additional layer of thermally grown Al2O3 on the mesa sidewalls, particularly in the region where the gate metallisation overlaps with the exposed channel edge. A high peak current ∼1.5 A/mm at VGS=+3 V and a current-gain cutoff frequency, fT , and maximum oscillation frequency, fMAX , of 50 GHz and 40 GHz, respectively, were obtained for a device with 0.2 μm gate length and 100 μm gate width. The measured breakdown voltage, VBR, of a two-finger MOS-HEMT with 0.5μm gate length and 100 μm gate width was 58 V. Additionally, an approach based on an accurate estimate of all the small-signal equivalent circuit elements followed by optimisation of these to get the actual element values was also developed for AlN/GaN MOS-HEMTs. The extracted element values provide feedback for further device process optimisation. The achieved results indicate the suitability of thermally grown Al2O3 for AlN/GaN-based MOS-HEMT technology for future high frequency power applications

    Advanced III-Nitride Technology for mm-Wave Applications

    Get PDF
    Within wireless communication, there is a continuously growing need for more bandwidth due to an increasing number of users and data intense services. The development within sensor systems such as radars, is largely driven by the need for increased detection range and robustness. In such systems, power amplification and generation at high frequency are of importance. High-electron mobility transistors based on gallium nitride (GaN HEMTs) offer efficient generation of high output power at high frequency. This is enabled by the unique characteristics of GaN and its heterostructures, with a large breakdown field, related to the wide bandgap, and excellent electron transport properties. Due to this, it is today used in high-performing radar, telecommunications, as well as power electronic systems. Despite substantial progress over the last decade, the GaN HEMT is still the subject of intense research to reach its full potential. \ua0Recent development within epitaxy has significantly improved the quality of III-nitride semiconductors, and enabled indium aluminum nitride (InAlN) and InAlGaN as alternatives to AlGaN in the conventional AlGaN/GaN heterostructure. The higher polarization charge in these materials allows for considerable downscaling of the barrier layer thickness with a sustained high sheet carrier density. \ua0This has opened new possibilities for optimization of the high frequency performance. \ua0\ua0In this work, HEMTs with downscaled InAl(Ga)N barrier layers have been developed with the goal to optimize the devices for power amplification in the mm-wave range. Electron trapping and short-channel effects have been addressed in the design of the epi and in the optimization of the process modules. Different surface passivation layers and deposition methods have been evaluated to mitigate electron trapping at the surface. The output power density of a HEMT increased from 1.7 to 4.1 W/mm after passivation with a SiNx layer. The deposition method for Al2O3 passivation layers showed to have a profound impact on the electron trapping. A layer deposited by plasma-assisted atomic layer deposition (ALD) exhibited superior passivation of the surface traps as compared to the layer deposited by thermal ALD, resulting in an output power at 3 GHz of 3.3, and 1.9 W/mm, respectively. The effect of the channel layer thickness (50 – 150 nm) in InAlGaN/AlN/GaN HEMTs with and AlGaN back barrier demonstrated a trade-off between short-channel effects and deep-level electron trapping in the back barrier. The maximum output power was 5.3 W/mm at 30 GHz, obtained for a GaN layer thickness of 100 nm. To further enhance the high frequency performance, the ohmic contacts were optimized by the development of a Ta-based, Au free, metal scheme. Competitive contact resistance of < 0.2 Ωmm was achieved on both AlGaN/GaN and InAlN heterostructures with a Ta/Al/Ta metal stack. The contacts are annealed at a low temperature (550 – 575 \ubaC) compared to more conventional contact schemes, resulting in a smooth morphology and good edge acuity.\ua0 The implementation of microwave monolithic integrated circuits (MMICs) based on III-nitride HEMTs facilitate the use of III-nitride HEMTs in a system where frequency and compactness are key requirements. Thin film resistors (TFRs) are one of the passive components required in MMICs. In this work, a low-resistance titanium nitride (TiN) TFR was developed as a complement to the higher resistance tantalum nitride (TaN) TFR and mesa resistor in the in-house MMIC process. The developed TiN TFR exhibits a sheet resistance of 10 Ω/□, compared to 50 and 200-300 Ω/□ of the TaN TFR and semiconductor resistor, respectively. The critical dissipated power in the TFR showed a correlation to the footprint area, indicating that Joule-heating was the main cause of failure. TiN- and TaN films exhibit different signs of the thermal coefficient of resistance. This feature was used to demonstrate a temperature compensated TFR (TCR = -60 ppm \ubaC) with application in MMICs operating in a wide temperature range

    Wide Bandgap Based Devices: Design, Fabrication and Applications, Volume II

    Get PDF
    Wide bandgap (WBG) semiconductors are becoming a key enabling technology for several strategic fields, including power electronics, illumination, and sensors. This reprint collects the 23 papers covering the full spectrum of the above applications and providing contributions from the on-going research at different levels, from materials to devices and from circuits to systems

    Buffer Related Dispersive Effects in Microwave GaN HEMTs

    Get PDF
    In applications such as mobile communication and radar, microwave power generation at high frequency is of utmost importance. The GaN HEMT offers a unique set of properties that makes it suitable for high power amplification at high frequencies. However, their performance is limited by trap states, leading to reduced output power and time variant effects. Furthermore, for good high frequency performance a high efficiency it is essential to limit the access resistances in the transistor. The GaN HEMT technology has long lacked a good ohmic contact with good reproducibility.\ua0In this thesis, three buffer designs are considered; C-doped GaN, AlGaN back barriers and a thin GaN structure. The three designs are evaluated in terms of trapping effects using the drain current transient technique. For the C-doped GaN buffer, trapping at dislocations covered with C-clusters is believed to be the main factor limiting output power. Dislocations are presumed to play a major role for the trapping behavior of AlGaN back barriers and the thin structure as well. The maximum output powers for C-doped GaN, AlGaN back barriers and the thin structure are 3.3, 2.7, and 3.9 W/mm at 30 GHz. The output power is found to be limited by trapping effects for all buffer designs. Moreover, a Ta-based, recessed ohmic contact enables a contact resistance of down to 0.14 Ωmm. The results also indicate that a highly reproducible process might be possible for deeply recessed contacts. An optimized AlGaN/GaN interface shows high mobility \textgreater2000 cm2/Vs without the use of an AlN-exclusion layer. The improved interface also decreases trapping effects and the gate-source capacitance at large electric fields compared to an unoptimized interface
    • …
    corecore