658 research outputs found

    Analysis and equalization of data-dependent jitter

    Get PDF
    Data-dependent jitter limits the bit-error rate (BER) performance of broadband communication systems and aggravates synchronization in phase- and delay-locked loops used for data recovery. A method for calculating the data-dependent jitter in broadband systems from the pulse response is discussed. The impact of jitter on conventional clock and data recovery circuits is studied in the time and frequency domain. The deterministic nature of data-dependent jitter suggests equalization techniques suitable for high-speed circuits. Two equalizer circuit implementations are presented. The first is a SiGe clock and data recovery circuit modified to incorporate a deterministic jitter equalizer. This circuit demonstrates the reduction of jitter in the recovered clock. The second circuit is a MOS implementation of a jitter equalizer with independent control of the rising and falling edge timing. This equalizer demonstrates improvement of the timing margins that achieve 10/sup -12/ BER from 30 to 52 ps at 10 Gb/s

    ํ†ต๊ณ„์  ์ฃผํŒŒ์ˆ˜ ๊ฒ€์ถœ๊ธฐ ๊ธฐ๋ฐ˜ ๊ธฐ์ค€ ์ฃผํŒŒ์ˆ˜๋ฅผ ์‚ฌ์šฉํ•˜์ง€ ์•Š๋Š” ํด๋ก ๋ฐ ๋ฐ์ดํ„ฐ ๋ณต์› ํšŒ๋กœ์˜ ์„ค๊ณ„ ๋ฐฉ๋ฒ•๋ก 

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2022. 8. ์ •๋•๊ท .In this thesis, a design of a high-speed, power-efficient, wide-range clock and data recovery (CDR) without a reference clock is proposed. A frequency acquisition scheme using a stochastic frequency detector (SFD) based on the Alexander phase detector (PD) is utilized for the referenceless operation. Pat-tern histogram analysis is presented to analyze the frequency acquisition behavior of the SFD and verified by simulation. Based on the information obtained by pattern histogram analysis, SFD using autocovariance is proposed. With a direct-proportional path and a digital integral path, the proposed referenceless CDR achieves frequency lock at all measurable conditions, and the measured frequency acquisition time is within 7ฮผs. The prototype chip has been fabricated in a 40-nm CMOS process and occupies an active area of 0.032 mm2. The proposed referenceless CDR achieves the BER of less than 10-12 at 32 Gb/s and exhibits an energy efficiency of 1.15 pJ/b at 32 Gb/s with a 1.0 V supply.๋ณธ ๋…ผ๋ฌธ์€ ๊ธฐ์ค€ ํด๋Ÿญ์ด ์—†๋Š” ๊ณ ์†, ์ €์ „๋ ฅ, ๊ด‘๋Œ€์—ญ์œผ๋กœ ๋™์ž‘ํ•˜๋Š” ํด๋Ÿญ ๋ฐ ๋ฐ์ดํ„ฐ ๋ณต์›ํšŒ๋กœ์˜ ์„ค๊ณ„๋ฅผ ์ œ์•ˆํ•œ๋‹ค. ๊ธฐ์ค€ ํด๋Ÿญ์ด ์—†๋Š” ๋™์ž‘์„ ์œ„ํ•ด์„œ ์•Œ๋ ‰์‚ฐ๋” ์œ„์ƒ ๊ฒ€์ถœ๊ธฐ์— ๊ธฐ๋ฐ˜ํ•œ ํ†ต๊ณ„์  ์ฃผํŒŒ์ˆ˜ ๊ฒ€์ถœ๊ธฐ๋ฅผ ์‚ฌ์šฉํ•˜๋Š” ์ฃผํŒŒ์ˆ˜ ํš๋“ ๋ฐฉ์‹์ด ์‚ฌ์šฉ๋œ๋‹ค. ํ†ต๊ณ„์  ์ฃผํŒŒ์ˆ˜ ๊ฒ€์ถœ๊ธฐ์˜ ์ฃผํŒŒ์ˆ˜ ์ถ”์  ์–‘์ƒ์„ ๋ถ„์„ํ•˜๊ธฐ ์œ„ํ•ด ํŒจํ„ด ํžˆ์Šคํ† ๊ทธ๋žจ ๋ถ„์„ ๋ฐฉ๋ฒ•๋ก ์„ ์ œ์‹œํ•˜์˜€๊ณ  ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ํ†ตํ•ด ๊ฒ€์ฆํ•˜์˜€๋‹ค. ํŒจํ„ด ํžˆ์Šคํ† ๊ทธ๋žจ ๋ถ„์„์„ ํ†ตํ•ด ์–ป์€ ์ •๋ณด๋ฅผ ๋ฐ”ํƒ•์œผ๋กœ ์ž๊ธฐ๊ณต๋ถ„์‚ฐ์„ ์ด์šฉํ•œ ํ†ต๊ณ„์  ์ฃผํŒŒ์ˆ˜ ๊ฒ€์ถœ๊ธฐ๋ฅผ ์ œ์•ˆํ•œ๋‹ค. ์ง์ ‘ ๋น„๋ก€ ๊ฒฝ๋กœ์™€ ๋””์ง€ํ„ธ ์ ๋ถ„ ๊ฒฝ๋กœ๋ฅผ ํ†ตํ•ด ์ œ์•ˆ๋œ ๊ธฐ์ค€ ํด๋Ÿญ์ด ์—†๋Š” ํด๋Ÿญ ๋ฐ ๋ฐ์ดํ„ฐ ๋ณต์›ํšŒ๋กœ๋Š” ๋ชจ๋“  ์ธก์ • ๊ฐ€๋Šฅํ•œ ์กฐ๊ฑด์—์„œ ์ฃผํŒŒ์ˆ˜ ์ž ๊ธˆ์„ ๋‹ฌ์„ฑํ•˜๋Š” ๋ฐ ์„ฑ๊ณตํ•˜์˜€๊ณ , ๋ชจ๋“  ๊ฒฝ์šฐ์—์„œ ์ธก์ •๋œ ์ฃผํŒŒ์ˆ˜ ์ถ”์  ์‹œ๊ฐ„์€ 7ฮผs ์ด๋‚ด์ด๋‹ค. 40-nm CMOS ๊ณต์ •์„ ์ด์šฉํ•˜์—ฌ ๋งŒ๋“ค์–ด์ง„ ์นฉ์€ 0.032 mm2์˜ ๋ฉด์ ์„ ์ฐจ์ง€ํ•œ๋‹ค. ์ œ์•ˆํ•˜๋Š” ํด๋Ÿญ ๋ฐ ๋ฐ์ดํ„ฐ ๋ณต์›ํšŒ๋กœ๋Š” 32 Gb/s์˜ ์†๋„์—์„œ ๋น„ํŠธ์—๋Ÿฌ์œจ 10-12 ์ดํ•˜๋กœ ๋™์ž‘ํ•˜์˜€๊ณ , ์—๋„ˆ์ง€ ํšจ์œจ์€ 32Gb/s์˜ ์†๋„์—์„œ 1.0V ๊ณต๊ธ‰์ „์••์„ ์‚ฌ์šฉํ•˜์—ฌ 1.15 pJ/b์„ ๋‹ฌ์„ฑํ•˜์˜€๋‹ค.CHAPTER 1 INTRODUCTION 1 1.1 MOTIVATION 1 1.2 THESIS ORGANIZATION 13 CHAPTER 2 BACKGROUNDS 14 2.1 CLOCKING ARCHITECTURES IN SERIAL LINK INTERFACE 14 2.2 GENERAL CONSIDERATIONS FOR CLOCK AND DATA RECOVERY 24 2.2.1 OVERVIEW 24 2.2.2 JITTER 26 2.2.3 CDR JITTER CHARACTERISTICS 33 2.3 CDR ARCHITECTURES 39 2.3.1 PLL-BASED CDR โ€“ WITH EXTERNAL REFERENCE CLOCK 39 2.3.2 DLL/PI-BASED CDR 44 2.3.3 PLL-BASED CDR โ€“ WITHOUT EXTERNAL REFERENCE CLOCK 47 2.4 FREQUENCY ACQUISITION SCHEME 50 2.4.1 TYPICAL FREQUENCY DETECTORS 50 2.4.1.1 DIGITAL QUADRICORRELATOR FREQUENCY DETECTOR 50 2.4.1.2 ROTATIONAL FREQUENCY DETECTOR 54 2.4.2 PRIOR WORKS 56 CHAPTER 3 DESIGN OF THE REFERENCELESS CDR USING SFD 58 3.1 OVERVIEW 58 3.2 PROPOSED FREQUENCY DETECTOR 62 3.2.1 MOTIVATION 62 3.2.2 PATTERN HISTOGRAM ANALYSIS 68 3.2.3 INTRODUCTION OF AUTOCOVARIANCE TO STOCHASTIC FREQUENCY DETECTOR 75 3.3 CIRCUIT IMPLEMENTATION 83 3.3.1 IMPLEMENTATION OF THE PROPOSED REFERENCELESS CDR 83 3.3.2 CONTINUOUS-TIME LINEAR EQUALIZER (CTLE) 85 3.3.3 DIGITALLY-CONTROLLED OSCILLATOR (DCO) 87 3.4 MEASUREMENT RESULTS 89 CHAPTER 4 CONCLUSION 99 APPENDIX A DETAILED FREQUENCY ACQUISITION WAVEFORMS OF THE PROPOSED SFD 100 BIBLIOGRAPHY 108 ์ดˆ ๋ก 122๋ฐ•

    Development of high speed integrated circuit for very high resolution timing measurements

    Get PDF
    A multi-channel high-precision low-power time-to-digital converter application specific integrated circuit for high energy physics applications has been designed and implemented in a 130 nm CMOS process. To reach a target resolution of 24.4 ps, a novel delay element has been conceived. This nominal resolution has been experimentally verified with a prototype, with a minimum resolution of 19 ps. To further improve the resolution, a new interpolation scheme has been described. The ASIC has been designed to use a reference clock with the LHC bunch crossing frequency of 40MHz and generate all required timing signals internally, to ease to use within the framework of an LHC upgrade. Special care has been taken to minimise the power consumption

    ๊ณ ์† DRAM ์ธํ„ฐํŽ˜์ด์Šค๋ฅผ ์œ„ํ•œ ์ „์•• ๋ฐ ์˜จ๋„์— ๋‘”๊ฐํ•œ ํด๋ก ํŒจ์Šค์™€ ์œ„์ƒ ์˜ค๋ฅ˜ ๊ต์ •๊ธฐ ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ (๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต ๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2021. 2. ์ •๋•๊ท .To cope with problems caused by the high-speed operation of the dynamic random access memory (DRAM) interface, several approaches are proposed that are focused on the clock path of the DRAM. Two delay-locked loop (DLL) based schemes, a forwarded-clock (FC) receiver (RX) with self-tracking loop and a quadrature error corrector, are proposed. Moreover, an open-loop based scheme is presented for drift compensation in the clock distribution. The open-loop scheme consumes less power consumption and reduces design complexity. The FC RX uses DLLs to compensate for voltage and temperature (VT) drift in unmatched memory interfaces. The self-tracking loop consists of two-stage cascaded DLLs to operate in a DRAM environment. With the write training and the proposed DLL, the timing relationship between the data and the sampling clock is always optimal. The proposed scheme compensates for delay drift without relying on data transitions or re-training. The proposed FC RX is fabricated in 65-nm CMOS process and has an active area containing 4 data lanes of 0.0329 mm2. After the write training is completed at the supply voltage of 1 V, the measured timing margin remains larger than 0.31-unit interval (UI) when the supply voltage drifts in the range of 0.94 V and 1.06 V from the training voltage, 1 V. At the data rate of 6.4 Gb/s, the proposed FC RX achieves an energy efficiency of 0.45 pJ/bit. Contrary to the aforementioned scheme, an open-loop-based voltage drift compensation method is proposed to minimize power consumption and occupied area. The overall clock distribution is composed of a current mode logic (CML) path and a CMOS path. In the proposed scheme, the architecture of the CML-to-CMOS converter (C2C) and the inverter is changed to compensate for supply voltage drift. The bias generator provides bias voltages to the C2C and inverters according to supply voltage for delay adjustment. The proposed clock tree is fabricated in 40 nm CMOS process and the active area is 0.004 mm2. When the supply voltage is modulated by a sinusoidal wave with 1 MHz, 100 mV peak-to-peak swing from the center of 1.1 V, applying the proposed scheme reduces the measured root-mean-square (RMS) jitter from 3.77 psRMS to 1.61 psRMS. At 6 GHz output clock, the power consumption of the proposed scheme is 11.02 mW. A DLL-based quadrature error corrector (QEC) with a wide correction range is proposed for the DRAM whose clocks are distributed over several millimeters. The quadrature error is corrected by adjusting delay lines using information from the phase error detector. The proposed error correction method minimizes increased jitter due to phase error correction by setting at least one of the delay lines in the quadrature clock path to the minimum delay. In addition, the asynchronous calibration on-off scheme reduces power consumption after calibration is complete. The proposed QEC is fabricated in 40 nm CMOS process and has an active area of 0.048 mm2. The proposed QEC exhibits a wide correctable error range of 101.6 ps and the remaining phase errors are less than 2.18ยฐ from 0.8 GHz to 2.3 GHz clock. At 2.3 GHz, the QEC contributes 0.53 psRMS jitter. Also, at 2.3 GHz, the power consumption is reduced from 8.89 mW to 3.39 mW when the calibration is off.๋ณธ ๋…ผ๋ฌธ์—์„œ๋Š” ๋™์  ๋žœ๋ค ์•ก์„ธ์Šค ๋ฉ”๋ชจ๋ฆฌ (DRAM)์˜ ์†๋„๊ฐ€ ์ฆ๊ฐ€ํ•จ์— ๋”ฐ๋ผ ํด๋ก ํŒจ์Šค์—์„œ ๋ฐœ์ƒํ•  ์ˆ˜ ์žˆ๋Š” ๋ฌธ์ œ์— ๋Œ€์ฒ˜ํ•˜๊ธฐ ์œ„ํ•œ ์„ธ ๊ฐ€์ง€ ํšŒ๋กœ๋“ค์„ ์ œ์•ˆํ•˜์˜€๋‹ค. ์ œ์•ˆํ•œ ํšŒ๋กœ๋“ค ์ค‘ ๋‘ ๋ฐฉ์‹๋“ค์€ ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„ (delay-locked loop) ๋ฐฉ์‹์„ ์‚ฌ์šฉํ•˜์˜€๊ณ  ๋‚˜๋จธ์ง€ ํ•œ ๋ฐฉ์‹์€ ๋ฉด์ ๊ณผ ์ „๋ ฅ ์†Œ๋ชจ๋ฅผ ์ค„์ด๊ธฐ ์œ„ํ•ด ์˜คํ”ˆ ๋ฃจํ”„ ๋ฐฉ์‹์„ ์‚ฌ์šฉํ•˜์˜€๋‹ค. DRAM์˜ ๋น„์ •ํ•ฉ ์ˆ˜์‹ ๊ธฐ ๊ตฌ์กฐ์—์„œ ๋ฐ์ดํ„ฐ ํŒจ์Šค์™€ ํด๋ก ํŒจ์Šค ๊ฐ„์˜ ์ง€์—ฐ ๋ถˆ์ผ์น˜๋กœ ์ธํ•ด ์ „์•• ๋ฐ ์˜จ๋„ ๋ณ€ํ™”์— ๋”ฐ๋ผ ์…‹์—… ํƒ€์ž„ ๋ฐ ํ™€๋“œ ํƒ€์ž„์ด ์ค„์–ด๋“œ๋Š” ๋ฌธ์ œ๋ฅผ ํ•ด๊ฒฐํ•˜๊ธฐ ์œ„ํ•ด ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„๋ฅผ ์‚ฌ์šฉํ•˜์˜€๋‹ค. ์ œ์•ˆํ•œ ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„ ํšŒ๋กœ๋Š” DRAM ํ™˜๊ฒฝ์—์„œ ๋™์ž‘ํ•˜๋„๋ก ๋‘ ๊ฐœ์˜ ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„๋กœ ๋‚˜๋ˆ„์—ˆ๋‹ค. ๋˜ํ•œ ์ดˆ๊ธฐ ์“ฐ๊ธฐ ํ›ˆ๋ จ์„ ํ†ตํ•ด ๋ฐ์ดํ„ฐ์™€ ํด๋ก์„ ํƒ€์ด๋ฐ ๋งˆ์ง„ ๊ด€์ ์—์„œ ์ตœ์ ์˜ ์œ„์น˜์— ๋‘˜ ์ˆ˜ ์žˆ๋‹ค. ๋”ฐ๋ผ์„œ ์ œ์•ˆํ•˜๋Š” ๋ฐฉ์‹์€ ๋ฐ์ดํ„ฐ ์ฒœ์ด ์ •๋ณด๊ฐ€ ํ•„์š”ํ•˜์ง€ ์•Š๋‹ค. 65-nm CMOS ๊ณต์ •์„ ์ด์šฉํ•˜์—ฌ ๋งŒ๋“ค์–ด์ง„ ์นฉ์€ 6.4 Gb/s์—์„œ 0.45 pJ/bit์˜ ์—๋„ˆ์ง€ ํšจ์œจ์„ ๊ฐ€์ง„๋‹ค. ๋˜ํ•œ 1 V์—์„œ ์“ฐ๊ธฐ ํ›ˆ๋ จ ๋ฐ ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„๋ฅผ ๊ณ ์ •์‹œํ‚ค๊ณ  0.94 V์—์„œ 1.06 V๊นŒ์ง€ ๊ณต๊ธ‰ ์ „์••์ด ๋ฐ”๋€Œ์—ˆ์„ ๋•Œ ํƒ€์ด๋ฐ ๋งˆ์ง„์€ 0.31 UI๋ณด๋‹ค ํฐ ๊ฐ’์„ ์œ ์ง€ํ•˜์˜€๋‹ค. ๋‹ค์Œ์œผ๋กœ ์ œ์•ˆํ•˜๋Š” ํšŒ๋กœ๋Š” ํด๋ก ๋ถ„ํฌ ํŠธ๋ฆฌ์—์„œ ์ „์•• ๋ณ€ํ™”๋กœ ์ธํ•ด ํด๋ก ํŒจ์Šค์˜ ์ง€์—ฐ์ด ๋‹ฌ๋ผ์ง€๋Š” ๊ฒƒ์„ ์•ž์„œ ์ œ์‹œํ•œ ๋ฐฉ์‹๊ณผ ๋‹ฌ๋ฆฌ ์˜คํ”ˆ ๋ฃจํ”„ ๋ฐฉ์‹์œผ๋กœ ๋ณด์ƒํ•˜์˜€๋‹ค. ๊ธฐ์กด ํด๋ก ํŒจ์Šค์˜ ์ธ๋ฒ„ํ„ฐ์™€ CML-to-CMOS ๋ณ€ํ™˜๊ธฐ์˜ ๊ตฌ์กฐ๋ฅผ ๋ณ€๊ฒฝํ•˜์—ฌ ๋ฐ”์ด์–ด์Šค ์ƒ์„ฑ ํšŒ๋กœ์—์„œ ์ƒ์„ฑํ•œ ๊ณต๊ธ‰ ์ „์••์— ๋”ฐ๋ผ ๋ฐ”๋€Œ๋Š” ๋ฐ”์ด์–ด์Šค ์ „์••์„ ๊ฐ€์ง€๊ณ  ์ง€์—ฐ์„ ์กฐ์ ˆํ•  ์ˆ˜ ์žˆ๊ฒŒ ํ•˜์˜€๋‹ค. 40-nm CMOS ๊ณต์ •์„ ์ด์šฉํ•˜์—ฌ ๋งŒ๋“ค์–ด์ง„ ์นฉ์˜ 6 GHz ํด๋ก์—์„œ์˜ ์ „๋ ฅ ์†Œ๋ชจ๋Š” 11.02 mW๋กœ ์ธก์ •๋˜์—ˆ๋‹ค. 1.1 V ์ค‘์‹ฌ์œผ๋กœ 1 MHz, 100 mV ํ”ผํฌ ํˆฌ ํ”ผํฌ๋ฅผ ๊ฐ€์ง€๋Š” ์‚ฌ์ธํŒŒ ์„ฑ๋ถ„์œผ๋กœ ๊ณต๊ธ‰ ์ „์••์„ ๋ณ€์กฐํ•˜์˜€์„ ๋•Œ ์ œ์•ˆํ•œ ๋ฐฉ์‹์—์„œ์˜ ์ง€ํ„ฐ๋Š” ๊ธฐ์กด ๋ฐฉ์‹์˜ 3.77 psRMS์—์„œ 1.61 psRMS๋กœ ์ค„์–ด๋“ค์—ˆ๋‹ค. DRAM์˜ ์†ก์‹ ๊ธฐ ๊ตฌ์กฐ์—์„œ ๋‹ค์ค‘ ์œ„์ƒ ํด๋ก ๊ฐ„์˜ ์œ„์ƒ ์˜ค์ฐจ๋Š” ์†ก์‹ ๋œ ๋ฐ์ดํ„ฐ์˜ ๋ฐ์ดํ„ฐ ์œ ํšจ ์ฐฝ์„ ๊ฐ์†Œ์‹œํ‚จ๋‹ค. ์ด๋ฅผ ํ•ด๊ฒฐํ•˜๊ธฐ ์œ„ํ•ด ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„๋ฅผ ๋„์ž…ํ•˜๊ฒŒ ๋˜๋ฉด ์ฆ๊ฐ€๋œ ์ง€์—ฐ์œผ๋กœ ์ธํ•ด ์œ„์ƒ์ด ๊ต์ •๋œ ํด๋ก์—์„œ ์ง€ํ„ฐ๊ฐ€ ์ฆ๊ฐ€ํ•œ๋‹ค. ๋ณธ ๋…ผ๋ฌธ์—์„œ๋Š” ์ฆ๊ฐ€๋œ ์ง€ํ„ฐ๋ฅผ ์ตœ์†Œํ™”ํ•˜๊ธฐ ์œ„ํ•ด ์œ„์ƒ ๊ต์ •์œผ๋กœ ์ธํ•ด ์ฆ๊ฐ€๋œ ์ง€์—ฐ์„ ์ตœ์†Œํ™”ํ•˜๋Š” ์œ„์ƒ ๊ต์ • ํšŒ๋กœ๋ฅผ ์ œ์‹œํ•˜์˜€๋‹ค. ๋˜ํ•œ ์œ ํœด ์ƒํƒœ์—์„œ ์ „๋ ฅ ์†Œ๋ชจ๋ฅผ ์ค„์ด๊ธฐ ์œ„ํ•ด ์œ„์ƒ ์˜ค์ฐจ๋ฅผ ๊ต์ •ํ•˜๋Š” ํšŒ๋กœ๋ฅผ ์ž…๋ ฅ ํด๋ก๊ณผ ๋น„๋™๊ธฐ์‹์œผ๋กœ ๋Œ ์ˆ˜ ์žˆ๋Š” ๋ฐฉ๋ฒ• ๋˜ํ•œ ์ œ์•ˆํ•˜์˜€๋‹ค. 40-nm CMOS ๊ณต์ •์„ ์ด์šฉํ•˜์—ฌ ๋งŒ๋“ค์–ด์ง„ ์นฉ์˜ ์œ„์ƒ ๊ต์ • ๋ฒ”์œ„๋Š” 101.6 ps์ด๊ณ  0.8 GHz ๋ถ€ํ„ฐ 2.3 GHz๊นŒ์ง€์˜ ๋™์ž‘ ์ฃผํŒŒ์ˆ˜ ๋ฒ”์œ„์—์„œ ์œ„์ƒ ๊ต์ •๊ธฐ์˜ ์ถœ๋ ฅ ํด๋ก์˜ ์œ„์ƒ ์˜ค์ฐจ๋Š” 2.18ยฐ๋ณด๋‹ค ์ž‘๋‹ค. ์ œ์•ˆํ•˜๋Š” ์œ„์ƒ ๊ต์ • ํšŒ๋กœ๋กœ ์ธํ•ด ์ถ”๊ฐ€๋œ ์ง€ํ„ฐ๋Š” 2.3 GHz์—์„œ 0.53 psRMS์ด๊ณ  ๊ต์ • ํšŒ๋กœ๋ฅผ ๊ป์„ ๋•Œ ์ „๋ ฅ ์†Œ๋ชจ๋Š” ๊ต์ • ํšŒ๋กœ๊ฐ€ ์ผœ์กŒ์„ ๋•Œ์ธ 8.89 mW์—์„œ 3.39 mW๋กœ ์ค„์–ด๋“ค์—ˆ๋‹ค.Chapter 1 Introduction 1 1.1 Motivation 1 1.2 Thesis Organization 4 Chapter 2 Background on DRAM Interface 5 2.1 Overview 5 2.2 Memory Interface 7 Chapter 3 Background on DLL 11 3.1 Overview 11 3.2 Building Blocks 15 3.2.1 Delay Line 15 3.2.2 Phase Detector 17 3.2.3 Charge Pump 19 3.2.4 Loop filter 20 Chapter 4 Forwarded-Clock Receiver with DLL-based Self-tracking Loop for Unmatched Memory Interfaces 21 4.1 Overview 21 4.2 Proposed Separated DLL 25 4.2.1 Operation of the Proposed Separated DLL 27 4.2.2 Operation of the Digital Loop Filter in DLL 31 4.3 Circuit Implementation 33 4.4 Measurement Results 37 4.4.1 Measurement Setup and Sequence 38 4.4.2 VT Drift Measurement and Simulation 40 Chapter 5 Open-loop-based Voltage Drift Compensation in Clock Distribution 46 5.1 Overview 46 5.2 Prior Works 50 5.3 Voltage Drift Compensation Method 52 5.4 Circuit Implementation 57 5.5 Measurement Results 61 Chapter 6 Quadrature Error Corrector with Minimum Total Delay Tracking 68 6.1 Overview 68 6.2 Prior Works 70 6.3 Quadrature Error Correction Method 73 6.4 Circuit Implementation 82 6.5 Measurement Results 88 Chapter 7 Conclusion 96 Bibliography 98 ์ดˆ๋ก 102Docto

    Techniques for high-performance digital frequency synthesis and phase control

    Get PDF
    Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2008.Includes bibliographical references (p. 183-190).This thesis presents a 3.6-GHz, 500-kHz bandwidth digital [delta][sigma] frequency synthesizer architecture that leverages a recently invented noise-shaping time-to-digital converter (TDC) and an all-digital quantization noise cancellation technique to achieve excellent in-band and out-of-band phase noise, respectively. In addition, a passive digital-to-analog converter (DAC) structure is proposed as an efficient interface between the digital loop filter and a conventional hybrid voltage-controlled oscillator (VCO) to create a digitally-controlled oscillator (DCO). An asynchronous divider structure is presented which lowers the required TDC range and avoids the divide-value-dependent delay variation. The prototype is implemented in a 0.13-am CMOS process and its active area occupies 0.95 mmยฒ. Operating under 1.5 V, the core parts, excluding the VCO output buffer, dissipate 26 mA. Measured phase noise at 3.67 GHz achieves -108 dBc/Hz and -150 dBc/Hz at 400 kHz and 20 MHz, respectively. Integrated phase noise at this carrier frequency yields 204 fs of jitter (measured from 1 kHz to 40 MHz). In addition, a 3.2-Gb/s delay-locked loop (DLL) in a 0.18-[mu]m CMOS for chip-tochip communications is presented. By leveraging the fractional-N synthesizer technique, this architecture provides a digitally-controlled delay adjustment with a fine resolution and infinite range. The provided delay resolution is less sensitive to the process, voltage, and temperature variations than conventional techniques. A new [delta][sigma] modulator enables a compact and low-power implementation of this architecture. A simple bang-bang detector is used for phase detection. The prototype operates at a 1.8-V supply voltage with a current consumption of 55 mA. The phase resolution and differential rms clock jitter are 1.4 degrees and 3.6 ps, respectively.by Chun-Ming Hsu.Ph.D

    Design of High-Speed SerDes Transceiver for Chip-to-Chip Communications in CMOS Process

    Get PDF
    With the continuous increase of on-chip computation capacities and exponential growth of data-intensive applications, the high-speed data transmission through serial links has become the backbone for modern communication systems. To satisfy the massive data-exchanging requirement, the data rate of such serial links has been updated from several Gb/s to tens of Gb/s. Currently, the commercial standards such as Ethernet 400GbE, InfiniBand high data rate (HDR), and common electrical interface (CEI)-56G has been developing towards 40+ Gb/s. As the core component within these links, the transceiver chipset plays a fundamental role in balancing the operation speed, power consumption, area occupation, and operation range. Meanwhile, the CMOS process has become the dominant technology in modern transceiver chip fabrications due to its large-scale digital integration capability and aggressive pricing advantage. This research aims to explore advanced techniques that are capable of exploiting the maximum operation speed of the CMOS process, and hence provides potential solutions for 40+ Gb/s CMOS transceiver designs. The major contributions are summarized as follows. A low jitter ring-oscillator-based injection-locked clock multiplier (RILCM) with a hybrid frequency tracking loop that consists of a traditional phase-locked loop (PLL), a timing-adjusted loop, and a loop selection state-machine is implemented in 65-nm C-MOS process. In the ring voltage-controlled oscillator, a full-swing pseudo-differential delay cell is proposed to lower the device noise to phase noise conversion. To obtain high operation speed and high detection accuracy, a compact timing-adjusted phase detector tightly combined with a well-matched charge pump is designed. Meanwhile, a lock-loss detection and lock recovery is devised to endow the RILCM with a similar lock-acquisition ability as conventional PLL, thus excluding the initial frequency set- I up aid and preventing the potential lock-loss risk. The experimental results show that the figure-of-merit of the designed RILCM reaches -247.3 dB, which is better than previous RILCMs and even comparable to the large-area LC-ILCMs. The transmitter (TX) and receiver (RX) chips are separately designed and fab- ricated in 65-nm CMOS process. The transmitter chip employs a quarter-rate multi-multiplexer (MUX)-based 4-tap feed-forward equalizer (FFE) to pre-distort the output. To increase the maximum operating speed, a bandwidth-enhanced 4:1 MUX with the capability of eliminating charge-sharing effect is proposed. To produce the quarter-rate parallel data streams with appropriate delays, a compact latch array associated with an interleaved-retiming technique is designed. The receiver chip employs a two-stage continuous-time linear equalizer (CTLE) as the analog front-end and integrates an improved clock data recovery to extract the sampling clocks and retime the incoming data. To automatically balance the jitter tracking and jitter suppression, passive low-pass filters with adaptively-adjusted bandwidth are introduced into the data-sampling path. To optimize the linearity of the phase interpolation, a time-averaging-based compensating phase interpolator is proposed. For equalization, a combined TX-FFE and RX-CTLE is applied to compensate for the channel loss, where a low-cost edge-data correlation-based sign zero-forcing adaptation algorithm is proposed to automatically adjust the TX-FFEโ€™s tap weights. Measurement results show that the fabricated transmitter/receiver chipset can deliver 40 Gb/s random data at a bit error rate of 16 dB loss at the half-baud frequency, while consuming a total power of 370 mW

    Wavelength tunable transmitters for future reconfigurable agile optical networks

    Get PDF
    Wavelength tuneable transmission is a requirement for future reconfigurable agile optical networks as it enables cost efficient bandwidth distribution and a greater degree of transparency. This thesis focuses on the development and characterisation of wavelength tuneable transmitters for the core, metro and access based WDM networks. The wavelength tuneable RZ transmitter is a fundamental component for the core network as the RZ coding scheme is favoured over the conventional NRZ format as the line rate increases. The combination of a widely tuneable SG DBR laser and an EAM is a propitious technique employed to generate wavelength tuneable pulses at high repetition rates (40 GHz). As the EAM is inherently wavelength dependant an accurate characterisation of the generated pulses is carried out using the linear spectrogram measurement technique. Performance issues associated with the transmitter are investigated by employing the generated pulses in a 1500 km 42.7 Gb/s circulating loop system. It is demonstrated that non-optimisation of the EAM drive conditions at each operating wavelength can lead to a 33 % degradation in system performance. To achieve consistent operation over a wide waveband the drive conditions of the EAM must be altered at each operating wavelength. The metro network spans relatively small distances in comparison to the core and therefore must utilise more cost efficient solutions to transmit data, while also maintaining high reconfigurable functionality. Due to the shorter transmission distances, directly modulated sources can be utilised, as less precise wavelength and chirp control can be tolerated. Therefore a gain-switched FP laser provides an ideal source for wavelength tuneable pulse generation at high data rates (10 Gb/s). A self-seeding scheme that generates single mode pulses with high SMSR (> 30 dB) and small pulse duration is demonstrated. A FBG with a very large group delay disperses the generated pulses and subsequently uses this CW like signal to re-inject the laser diode negating the need to tune the repetition rate for optimum gain-switching operation. The access network provides the last communication link between the customerโ€™s premises and the first switching node in the network. FTTH systems should take advantage of directly modulated sources; therefore the direct modulation of a SG DBR tuneable laser is investigated. Although a directly modulated TL is ideal for reconfigurable access based networks, the modulation itself leads to a drift in operating frequency which may result in cross channel interference in a WDM network. This effect is investigated and also a possible solution to compensate the frequency drift through simultaneous modulation of the lasers phase section is examined

    High-precision optical and microwave signal synthesis and distribution

    Get PDF
    Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2007.Includes bibliographical references (p. 135-148).In this thesis, techniques for high-precision synthesis of optical and microwave signals and their distribution to remote locations are presented. The first topic is ultrafast optical pulse synthesis by coherent superposition of mode-locked lasers. Timing and phase synchronization of ultrabroadband Ti:sapphire and Cr:forsterite mode-locked lasers is studied. Subfemtosecond (1 h) 3-mrad level phase stability of a 10.225 GHz microwave signal extracted from a mode-locked laser is demonstrated. The third topic is timing stabilized fiber links for large-scale timing distribution. Precise optical timing distribution to remote locations can result in synchronization over long distances. In doing so, acoustic noise and thermal drifts introduced to the fiber links must be canceled by a length-correction feedback loop. A single type-II phase-matched PPKTP crystal is used to construct a compact and self-aligned balanced optical cross-correlator for precise timing detection.(cont.) Using this correlator, a 310 m long fiber link is stabilized with long-term sub-10 fs accuracy. The final topic is photonic analog-to-digital conversion of high-frequency microwave signals. Sampling of high-frequency (>10 GHz) microwave signals is challenging due to the required aperture jitter below 100 fs. An optical subsampling down- converter for analog-to-digital conversion of narrowband high-frequency microwave signals is studied. The measured signal to noise-and-distortion ratio of 1-Mbps signals at 9.5 GHz carrier frequency is 22 dB over 2 MHz bandwidth. By integrating the demonstrated techniques, large-scale femtosecond-precision timing distribution and synchronization systems can be implemented.by Jungwon Kim.Ph.D

    Digital enhancement techniques for fractional-N frequency synthesizers

    Get PDF
    Meeting the demand for unprecedented connectivity in the era of internet-of-things (IoT) requires extremely energy efficient operation of IoT nodes to extend battery life. Managing the data traffic generated by trillions of such nodes also puts severe energy constraints on the data centers. Clock generators that are essential elements in these systems consume significant power and therefore must be optimized for low power and high performance. The focus of this thesis is on improving the energy efficiency of frequency synthesizers and clocking modules by exploring design techniques at both the architectural and circuit levels. In the first part of this work, a digital fractional-N phase locked loop (FNPLL) that employs a high resolution time-to-digital converter (TDC) and a truly ฮ”ฮฃ fractional divider to achieve low in-band noise with a wide bandwidth is presented. The fractional divider employs a digital-to-time converter (DTC) to cancel out ฮ”ฮฃ quantization noise in time domain, thus alleviating TDC dynamic range requirements. The proposed digital architecture adopts a narrow range low-power time-amplifier based TDC (TA-TDC) to achieve sub 1ps resolution. Fabricated in 65nm CMOS process, the prototype PLL achieves better than -106dBc/Hz in-band noise and 3MHz PLL bandwidth at 4.5GHz output frequency using 50MHz reference. The PLL achieves excellent jitter performance of 490fsrms, while consumes only 3.7mW. This translates to the best reported jitter-power figure-of-merit (FoM) of -240.5dB among previously reported FNPLLs. Phase noise performance of ring oscillator based digital FNPLLs is severely compromised by conflicting bandwidth requirements to simultaneously suppress oscillator phase and quantization noise introduced by the TDC, ฮ”ฮฃ fractional divider, and digital-to-analog converter (DAC). As a consequence, their FoM that quantifies the power-jitter tradeoff is at least 25dB worse than their LC-oscillator based FNPLL counterparts. In the second part of this thesis, we seek to close this performance gap by extending PLL bandwidth using quantization noise cancellation techniques and by employing a dual-path digital loop filter to suppress the detrimental impact of DAC quantization noise. A prototype was implemented in a 65nm CMOS process operating over a wide frequency range of 2.0GHz-5.5GHz using a modified extended range multi-modulus divider with seamless switching. The proposed digital FNPLL achieves 1.9psrms integrated jitter while consuming only 4mW at 5GHz output. The measured in-band phase noise is better than -96 dBc/Hz at 1MHz offset. The proposed FNPLL achieves wide bandwidth up to 6MHz using a 50 MHz reference and its FoM is -228.5dB, which is at about 20dB better than previously reported ring-based digital FNPLLs. In the third part, we propose a new multi-output clock generator architecture using open loop fractional dividers for system-on-chip (SoC) platforms. Modern multi-core processors use per core clocking, where each core runs at its own speed. The core frequency can be changed dynamically to optimize for performance or power dissipation using a dynamic frequency scaling (DFS) technique. Fast frequency switching is highly desirable as long as it does not interrupt code execution; therefore it requires smooth frequency transitions with no undershoots. The second main requirement in processor clocking is the capability of spread spectrum frequency modulation. By spreading the clock energy across a wide bandwidth, the electromagnetic interference (EMI) is dramatically reduced. A conventional PLL clock generation approach suffers from a slow frequency settling and limited spread spectrum modulation capabilities. The proposed open loop fractional divider architecture overcomes the bandwidth limitation in fractional-N PLLs. The fractional divider switches the output frequency instantaneously and provides an excellent spread spectrum performance, where precise and programmable modulation depth and frequency can be applied to satisfy different EMI requirements. The fractional divider has unlimited modulation bandwidth resulting in spread spectrum modulation with no filtering, unlike fractional-N PLL; consequently it achieves higher EMI reduction. A prototype fractional divider was implemented in a 65nm CMOS process, where the measured peak-to-peak jitter is less than 27ps over a wide frequency range from 20MHz to 1GHz. The total power consumption is about 3.2mW for 1GHz output frequency. The all-digital implementation of the divider occupies the smallest area of 0.017mm2 compared to state-of-the-art designs. As the data rate of serial links goes higher, the jitter requirements of the clock generator become more stringent. Improving the jitter performance of conventional PLLs to less than (200fsrms) always comes with a large power penalty (tens of mWs). This is due to the PLL coupled noise bandwidth trade-off, which imposes stringent noise requirements on the oscillator and/or loop components. Alternatively, an injection-locked clock multiplier (ILCM) provides many advantages in terms of phase noise, power, and area compared to classical PLLs, but they suffer from a narrow lock-in range and a high sensitivity to PVT variations especially at a large multiplication factor (N). In the fourth part of this thesis, a low-jitter, low-power LC-based ILCM with a digital frequency-tracking loop (FTL) is presented. The proposed FTL relies on a new pulse gating technique to continuously tune the oscillator's free-running frequency. The FTL ensures robust operation across PVT variations and resolves the race condition existing in injection locked PLLs by decoupling frequency tuning from the injection path. As a result, the phase locking condition is only determined by the injection path. This work also introduces an accurate theoretical large-signal analysis for phase domain response (PDR) of injection locked oscillators (ILOs). The proposed PDR analysis captures the asymmetric nature of ILO's lock-in range, and the impact of frequency error on injection strength and phase noise performance. The proposed architecture and analysis are demonstrated by a prototype fabricated in 65 nm CMOS process with active area of 0.25mm2. The prototype ILCM multiplies the reference frequency by 64 to generate an output clock in the range of 6.75GHz-8.25GHz. A superior jitter performance of 190fsrms is achieved, while consuming only 2.25mW power. This translates to a best FoM of -251dB. Unlike conventional PLLs, ILCMs have been fundamentally limited to only integer-N operation and cannot synthesize fractional-N frequencies. In the last part of this thesis, we extend the merits of ILCMs to fractional-N and overcome this fundamental limitation. We employ DTC-based QNC techniques in order to align injected pulses to the oscillator's zero crossings, which enables it to pull the oscillator toward phase lock, thus realizing a fractional-N ILCM. Fabricated in 65nm CMOS process, a prototype 20-bit fractional-N ILCM with an output range of 6.75GHz-8.25GHz consumes only 3.25mW. It achieves excellent jitter performance of 110fsrms and 175fsrms in integer- and fractional-N modes respectively, which translates to the best-reported FoM in both integer- (-255dB) and fractional-N (-252dB) modes. The proposed fractional-N ILCM also features the first-reported rapid on/off capability, where the transient absolute jitter performance at wake-up is bounded below 4ps after less than 4ns. This demonstrates almost instantaneous phase settling. This unique capability enables tremendous energy saving by turning on the clock multiplier only when needed. This energy proportional operation leverages idle times to save power at the system-level of wireline and wireless transceivers
    • โ€ฆ
    corecore