13 research outputs found

    ๋Œ€์—ญํญ ์ฆ๋Œ€ ๊ธฐ์ˆ ์„ ์ด์šฉํ•œ ์ „๋ ฅ ํšจ์œจ์  ๊ณ ์† ์†ก์‹  ์‹œ์Šคํ…œ ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2022.2. ์ •๋•๊ท .The high-speed interconnect at the datacenter is being more crucial as 400 Gb Ethernet standards are developed. At the high data rate, channel loss re-quires bandwidth extension techniques for transmitters, even for short-reach channels. On the other hand, as the importance of east-to-west connection is rising, the data center architectures are switching to spine-leaf from traditional ones. In this trend, the number of short-reach optical interconnect is expected to be dominant. The vertical-cavity surface-emitting laser (VCSEL) is a com-monly used optical modulator for short-reach interconnect. However, since VCSEL has low bandwidth and nonlinearity, the optical transmitter also needs bandwidth-increasing techniques. Additionally, the power consumption of data centers reaches a point of concern to affect climate change. Therefore, this the-sis focuses on high-speed, power-efficient transmitters for data center applica-tions. Before the presenting circuit design, bandwidth extension techniques such as fractionally-spaced feed-forward equalizer (FFE), on-chip transmission line, inductive peaking, and T-coil are mathematically analyzed for their effec-tiveness. For the first chip, a power and area-efficient pulse-amplitude modulation 4 (PAM-4) transmitter using 3-tap FFE based on a slow-wave transmission line is presented. A passive delay line is adopted for generating an equalizer tap to overcome the high clocking power consumption. The transmission line achieves a high slow-wave factor of 15 with double floating metal shields around the differential coplanar waveguide. The transmitter includes 4:1 multi-plexers (MUXs) and a quadrature clock generator for high-speed data genera-tion in a quarter-rate system. The 4:1 MUX utilizes a 2-UI pulse generator, and the input configuration is determined by qualitative analysis. The chip is fabri-cated in 65 nm CMOS technology and occupies an area of 0.151 mm2. The proposed transmitter system exhibits an energy efficiency of 3.03 pJ/b at the data rate of 48 Gb/s with PAM-4 signaling. The second chip presents a power-efficient PAM-4 VCSEL transmitter using 3-tap FFE and negative-k T-coil. The phase interpolators (PIs) generate frac-tionally-spaced FFE tap and correct quadrature phase error. The PAM-4 com-bining 8:1 MUX is proposed rather than combining at output driver with double 4:1 MUXs to reduce serializing power consumption. T-coils at the internal and output node increase the bandwidth and remove inter-symbol interference (ISI). The negative-k T-coil at the output network increases the bandwidth 1.61 times than without T-coil. The VCSEL driver is placed on the high VSS domain for anode driving and power reduction. The chip is fabricated in 40 nm CMOS technology. The proposed VCSEL transmitter operates up to 48 Gb/s NRZ and 64 Gb/s PAM-4 with the power efficiency of 3.03 pJ/b and 2.09 pJ/b, respec-tively.400Gb ์ด๋”๋„ท ํ‘œ์ค€์ด ๊ฐœ๋ฐœ๋จ์— ๋”ฐ๋ผ ๋ฐ์ดํ„ฐ ์„ผํ„ฐ์˜ ๊ณ ์† ์ƒํ˜ธ ์—ฐ๊ฒฐ์ด ๋”์šฑ ์ค‘์š”ํ•ด์ง€๊ณ  ์žˆ๋‹ค. ๋†’์€ ๋ฐ์ดํ„ฐ ์†๋„์—์„œ์˜ ์ฑ„๋„ ์†์‹ค์— ์˜ํ•ด ๋‹จ๊ฑฐ๋ฆฌ ์ฑ„๋„์˜ ๊ฒฝ์šฐ์—๋„ ์†ก์‹ ๊ธฐ์— ๋Œ€ํ•œ ๋Œ€์—ญํญ ํ™•์žฅ ๊ธฐ์ˆ ์ด ํ•„์š”ํ•˜๋‹ค. ํ•œํŽธ, ๋ฐ์ดํ„ฐ ์„ผํ„ฐ ๋‚ด ๋™-์„œ ์—ฐ๊ฒฐ์˜ ์ค‘์š”์„ฑ์ด ๋†’์•„์ง€๋ฉด์„œ ๋ฐ์ดํ„ฐ ์„ผํ„ฐ ์•„ํ‚คํ…์ฒ˜๊ฐ€ ๊ธฐ์กด์˜ ์•„ํ‚คํ…์ฒ˜์—์„œ ์ŠคํŒŒ์ธ-๋ฆฌํ”„๋กœ ์ „ํ™˜๋˜๊ณ  ์žˆ๋‹ค. ์ด๋Ÿฌํ•œ ์ถ”์„ธ์—์„œ ๋‹จ๊ฑฐ๋ฆฌ ๊ด‘ํ•™ ์ธํ„ฐ์ปค๋„ฅํŠธ์˜ ์ˆ˜๊ฐ€ ์ ์ฐจ ์šฐ์„ธํ•ด์งˆ ๊ฒƒ์œผ๋กœ ์˜ˆ์ƒ๋œ๋‹ค. ์ˆ˜์ง ์บ๋น„ํ‹ฐ ํ‘œ๋ฉด ๋ฐฉ์ถœ ๋ ˆ์ด์ €(VCSEL)๋Š” ์ผ๋ฐ˜์ ์œผ๋กœ ๋‹จ๊ฑฐ๋ฆฌ ์ƒํ˜ธ ์—ฐ๊ฒฐ์„ ์œ„ํ•ด ์‚ฌ์šฉ๋˜๋Š” ๊ด‘ํ•™ ๋ชจ๋“ˆ๋ ˆ์ดํ„ฐ์ด๋‹ค. VCSEL์€ ๋‚ฎ์€ ๋Œ€์—ญํญ๊ณผ ๋น„์„ ํ˜•์„ฑ์„ ๊ฐ€์ง€๊ณ  ์žˆ๊ธฐ ๋•Œ๋ฌธ์—, ๊ด‘ ์†ก์‹ ๊ธฐ๋„ ๋Œ€์—ญํญ ์ฆ๊ฐ€ ๊ธฐ์ˆ ์„ ํ•„์š”๋กœ ํ•œ๋‹ค. ๋˜ํ•œ, ๋ฐ์ดํ„ฐ ์„ผํ„ฐ์˜ ์ „๋ ฅ ์†Œ๋น„๋Š” ๊ธฐํ›„ ๋ณ€ํ™”์— ์˜ํ–ฅ์„ ๋ฏธ์น  ์ˆ˜ ์žˆ๋Š” ์šฐ๋ ค ์ง€์ ์— ๋„๋‹ฌํ–ˆ๋‹ค. ๋”ฐ๋ผ์„œ, ๋ณธ ๋…ผ๋ฌธ์€ ๋ฐ์ดํ„ฐ ์„ผํ„ฐ ์‘์šฉ์„ ์œ„ํ•œ ๊ณ ์† ์ „๋ ฅ ํšจ์œจ์ ์ธ ์†ก์‹ ๊ธฐ์— ์ดˆ์ ์„ ๋งž์ถ”๊ณ  ์žˆ๋‹ค. ํšŒ๋กœ ์„ค๊ณ„๋ฅผ ์ œ์‹œํ•˜๊ธฐ ์ „์—, ๋ถ€๋ถ„ ๊ฐ„๊ฒฉ ํ”ผ๋“œ-ํฌ์›Œ๋“œ ์ดํ€„๋ผ์ด์ € (FFE), ์˜จ์นฉ ์ „์†ก์„ ๋กœ, ์ธ๋•ํ„ฐ, T-์ฝ”์ผ๊ณผ ๊ฐ™์€ ๋Œ€์—ญํญ ํ™•์žฅ ๊ธฐ์ˆ ์„ ์ˆ˜ํ•™์ ์œผ๋กœ ๋ถ„์„ํ•œ๋‹ค. ์ฒซ ๋ฒˆ์งธ ์นฉ์€ ์ €์†ํŒŒ ์ „์†ก์„ ๋กœ๋ฅผ ๊ธฐ๋ฐ˜์œผ๋กœ ํ•œ 3-ํƒญ FFE๋ฅผ ์‚ฌ์šฉํ•˜๋Š” ์ „๋ ฅ ๋ฐ ๋ฉด์  ํšจ์œจ์ ์ธ ํŽ„์Šค-์ง„ํญ-๋ณ€์กฐ 4(PAM-4) ์†ก์‹ ๊ธฐ๋ฅผ ์ œ์‹œํ•œ๋‹ค. ๋†’์€ ํด๋Ÿญ ์ „๋ ฅ ์†Œ๋น„๋ฅผ ๊ทน๋ณตํ•˜๊ธฐ ์œ„ํ•ด ์ดํ€„๋ผ์ด์ € ํƒญ ์ƒ์„ฑ์„ ์œ„ํ•ด ์ˆ˜๋™์†Œ์ž ์ง€์—ฐ ๋ผ์ธ์„ ์ฑ„ํƒํ–ˆ๋‹ค. ์ „์†ก ๋ผ์ธ์€ ์ฐจ๋™ ๋™์ผํ‰๋ฉด๋„ํŒŒ๊ด€ ์ฃผ์œ„์— ์ด์ค‘ ํ”Œ๋กœํŒ… ๊ธˆ์† ์ฐจํ๋ฅผ ์‚ฌ์šฉํ•˜์—ฌ 15์˜ ๋†’์€ ์ „๋‹ฌ์†๋„ ๊ฐ์‡ ๋ฅผ ๋‹ฌ์„ฑํ•œ๋‹ค. ์†ก์‹ ๊ธฐ์—๋Š” 4:1 ๋ฉ€ํ‹ฐํ”Œ๋ ‰์„œ(MUX)์™€ 4-์œ„์ƒ ํด๋Ÿญ ์ƒ์„ฑ๊ธฐ๊ฐ€ ํฌํ•จ๋˜์–ด ์žˆ๋‹ค. 4:1 MUX๋Š” 2-UI ํŽ„์Šค ๋ฐœ์ƒ๊ธฐ๋ฅผ ์‚ฌ์šฉํ•˜๋ฉฐ, ์ •์„ฑ ๋ถ„์„์— ์˜ํ•ด ์ž…๋ ฅ ๊ตฌ์„ฑ์ด ๊ฒฐ์ •๋œ๋‹ค. ์ด ์นฉ์€ 65 nm CMOS ๊ธฐ์ˆ ๋กœ ์ œ์ž‘๋˜์—ˆ์œผ๋ฉฐ 0.151 mm2์˜ ๋ฉด์ ์„ ์ฐจ์ง€ํ•œ๋‹ค. ์ œ์•ˆ๋œ ์†ก์‹ ๊ธฐ ์‹œ์Šคํ…œ์€ PAM-4 ์‹ ํ˜ธ์™€ ํ•จ๊ป˜ 48 Gb/s์˜ ๋ฐ์ดํ„ฐ ์†๋„์—์„œ 3.03 pJ/b์˜ ์—๋„ˆ์ง€ ํšจ์œจ์„ ๋ณด์—ฌ์ค€๋‹ค. ๋‘ ๋ฒˆ์งธ ์นฉ์—์„œ๋Š” 3-ํƒญ FFE ๋ฐ ์—ญํšŒ์ „ T-์ฝ”์ผ์„ ์‚ฌ์šฉํ•˜๋Š” ์ „๋ ฅ ํšจ์œจ์ ์ธ PAM-4 VCSEL ์†ก์‹ ๊ธฐ๋ฅผ ์ œ์‹œํ•œ๋‹ค. ์œ„์ƒ ๋ณด๊ฐ„๊ธฐ(PI)๋Š” ๋ถ€๋ถ„ ๊ฐ„๊ฒฉ FFE ํƒญ์„ ์ƒ์„ฑํ•˜๊ณ  4-์œ„์ƒ ํด๋Ÿญ ์˜ค๋ฅ˜๋ฅผ ์ˆ˜์ •ํ•˜๋Š” ๋ฐ ์‚ฌ์šฉ๋œ๋‹ค. ์ง๋ ฌํ™” ์ „๋ ฅ ์†Œ๋น„๋ฅผ ์ค„์ด๊ธฐ ์œ„ํ•ด ์ถœ๋ ฅ ๋“œ๋ผ์ด๋ฒ„์—์„œ MSB์™€ LSB๋ฅผ ๋‘ ๊ฐœ์˜ 4:1 MUX๋ฅผ ํ†ตํ•ด ๊ฒฐํ•ฉํ•˜๋Š” ๋Œ€์‹  8:1 MUX๋ฅผ ํ†ตํ•ด PAM-4๋กœ ๊ฒฐํ•ฉํ•˜๋Š” ํšŒ๋กœ๊ฐ€ ์ œ์•ˆ๋œ๋‹ค. ๋‚ด๋ถ€ ๋ฐ ์ถœ๋ ฅ ๋…ธ๋“œ์—์„œ T-์ฝ”์ผ์€ ๋Œ€์—ญํญ์„ ์ฆ๊ฐ€์‹œํ‚ค๊ณ  ๊ธฐํ˜ธ ๊ฐ„ ๊ฐ„์„ญ(ISI)์„ ์ œ๊ฑฐํ•œ๋‹ค. ์ถœ๋ ฅ ๋„คํŠธ์›Œํฌ์—์„œ ์—ญํšŒ์ „ T-์ฝ”์ผ์€ T-์ฝ”์ผ์ด ์—†๋Š” ๊ฒฝ์šฐ๋ณด๋‹ค ๋Œ€์—ญํญ์„ 1.61๋ฐฐ ์ฆ๊ฐ€์‹œํ‚จ๋‹ค. VCSEL ๋“œ๋ผ์ด๋ฒ„๋Š” ์–‘๊ทน ๊ตฌ๋™ ๋ฐ ์ „๋ ฅ ๊ฐ์†Œ๋ฅผ ์œ„ํ•ด ๋†’์€ VSS ๋„๋ฉ”์ธ์— ๋ฐฐ์น˜๋œ๋‹ค. ์ด ์นฉ์€ 40 nm CMOS ๊ธฐ์ˆ ๋กœ ์ œ์ž‘๋˜์—ˆ๋‹ค. ์ œ์•ˆ๋œ VCSEL ์†ก์‹ ๊ธฐ๋Š” ๊ฐ๊ฐ 3.03pJ/b์™€ 2.09pJ/b์˜ ์ „๋ ฅ ํšจ์œจ๋กœ ์ตœ๋Œ€ 48Gb/s NRZ์™€ 64Gb/s PAM-4๊นŒ์ง€ ์ž‘๋™ํ•œ๋‹ค.ABSTRACT I CONTENTS III LIST OF FIGURES V LIST OF TABLES IX CHAPTER 1 INTRODUCTION 1 1.1 MOTIVATION 1 1.2 THESIS ORGANIZATION 5 CHAPTER 2 BACKGROUND OF HIGH-SPEED INTERFACE 6 2.1 OVERVIEW 6 2.2 BASIS OF DATA CENTER ARCHITECTURE 9 2.3 SHORT-REACH INTERFACE STANDARDS 12 2.4 ANALYSES OF BANDWIDTH EXTENSION TECHNIQUES 16 2.4.1 FRACTIONALLY-SPACED FFE 16 2.4.2 TRANSMISSION LINE 21 2.4.3 INDUCTOR 24 2.4.4 T-COIL 33 CHAPTER 3 DESIGN OF 48 GB/S PAM-4 ELECTRICAL TRANSMITTER IN 65 NM CMOS 43 3.1 OVERVIEW 43 3.2 FFE BASED ON DOUBLE-SHIELDED COPLANAR WAVEGUIDE 46 3.2.1 BASIC CONCEPT 46 3.2.2 PROPOSED DOUBLE-SHIELDED COPLANAR WAVEGUIDE 47 3.3 DESIGN CONSIDERATION ON 4:1 MUX 50 3.4 PROPOSED PAM-4 ELECTRICAL TRANSMITTER 53 3.5 MEASUREMENT 57 CHAPTER 4 DESIGN OF 64 GB/S PAM-4 OPTICAL TRANSMITTER IN 40 NM CMOS 64 4.1 OVERVIEW 64 4.2 DESIGN CONSIDERATION OF OPTICAL TRANSMITTER 66 4.3 PROPOSED PAM-4 VCSEL TRANSMITTER 69 4.4 MEASUREMENT 82 CHAPTER 5 CONCLUSIONS 88 BIBLIOGRAPHY 90 ์ดˆ ๋ก 101๋ฐ•

    A 2-40 Gb/s PAM4/NRZ dual-mode wireline transmitter with 4:1 MUX in 65-nm CMOS

    Get PDF
    This paper presents a 2-40 Gb/s dual-mode wireline transmitter supporting the four-level pulse amplitude modulation (PAM4) and non-return-to-zero (NRZ) modulation with a multiplexer (MUX)-based two-tap feed-forward equalizer (FFE). An edge-acceleration technique is proposed for the 4:1 MUX to increase the bandwidth. By utilizing a dedicated cascode current source, the output swing can achieve 900 mV with a level deviation of only 0.12% for PAM4. Fabricated in a 65-nm CMOS process, the transmitter consumes 117 mW and 89 mW at 40 Gb/s in PAM4 and NRZ at 1.2 V supply. ยฉ 2018, Institute of Electronics Engineers of Korea. All rights reserved

    High Speed Reconfigurable NRZ/PAM4 Transceiver Design Techniques

    Get PDF
    While the majority of wireline standards use simple binary non-return-to-zero (NRZ) signaling, four-level pulse-amplitude modulation (PAM4) standards are emerging to increase bandwidth density. This dissertation proposes efficient implementations for high speed NRZ/PAM4 transceivers. The first prototype includes a dual-mode NRZ/PAM4 serial I/O transmitter which can support both modulations with minimum power and hardware overhead. A source-series-terminated (SST) transmitter achieves 1.2Vpp output swing and employs lookup table (LUT) control of a 31-segment output digital-to-analog converter (DAC) to implement 4/2-tap feed-forward equalization (FFE) in NRZ/PAM4 modes, respectively. Transmitter power is improved with low-overhead analog impedance control in the DAC cells and a quarter-rate serializer based on a tri-state inverter-based mux with dynamic pre-driver gates. The transmitter is designed to work with a receiver that implements an NRZ/PAM4 decision feedback equalizer (DFE) that employs 1 finite impulse response (FIR) and 2 infinite impulse response (IIR) taps for first post-cursor and long-tail ISI cancellation, respectively. Fabricated in GP 65-nm CMOS, the transmitter occupies 0.060mmยฒ area and achieves 16Gb/s NRZ and 32Gb/s PAM4 operation at 10.4 and 4.9 mW/Gb/s while operating over channels with 27.6 and 13.5dB loss at Nyquist, respectively. The second prototype presents a 56Gb/s four-level pulse amplitude modulation (PAM4) quarter-rate wireline receiver which is implemented in a 65nm CMOS process. The frontend utilize a single stage continuous time linear equalizer (CTLE) to boost the main cursor and relax the pre-cursor cancelation requirement, requiring only a 2-tap pre-cursor feed-forward equalization (FFE) on the transmitter side. A 2-tap decision feedback equalizer (DFE) with one finite impulse response (FIR) tap and one infinite impulse response (IIR) tap is employed to cancel first post-cursor and longtail inter-symbol interference (ISI). The FIR tap direct feedback is implemented inside the CML slicers to relax the critical timing of DFE and maximize the achievable data-rate. In addition to the per-slice main 3 data samplers, an error sampler is utilized for background threshold control and an edge-based sampler performs both PLL-based CDR phase detection and generates information for background DFE tap adaptation. The receiver consumes 4.63mW/Gb/s and compensates for up to 20.8dB loss when operated with a 2- tap FFE transmitter. The experimental results and comparison with state-of-the-art shows superior power efficiency of the presented prototypes for similar data-rate and channel loss. The usage of proposed design techniques are not limited to these specific prototypes and can be applied for any wireline transceiver with different modulation, data-rate and CMOS technology

    Design of Low-Power NRZ/PAM-4 Wireline Transmitters

    Get PDF
    Rapid growing demand for instant multimedia access in a myriad of digital devices has pushed the need for higher bandwidth in modern communication hardwares ranging from short-reach (SR) memory/storage interfaces to long-reach (LR) data center Ethernets. At the same time, comprehensive design optimization of link system that meets the energy-efficiency is required for mobile computing and low operational cost at datacenters. This doctoral study consists of design of two low-swing wireline transmitters featuring a low-power clock distribution and 2-tap equalization in energy-efficient manners up to 20-Gb/s operation. In spite of the reduced signaling power in the voltage-mode (VM) transmit driver, the presence of the segment selection logic still diminishes the power saving benefit. The first work presents a scalable VM transmitter which offers low static power dissipation and adopts an impedance-modulated 2-tap equalizer with analog tap control, thereby obviating driver segmentation and reducing pre-driver complexity and dynamic power. Per-channel quadrature clock generation with injection-locked oscillators (ILO) allows the generation of rail-to-rail quadrature clocks. Energy efficiency is further improved with capacitively driven low-swing global clock distribution and supply scaling at lower data rates, while output eye quality is maintained at low voltages with automatic phase calibration of the local ILO-generated quarter-rate clocks. A prototype fabricated in a general purpose 65 nm CMOS process includes a 2 mm global clock distribution network and two transmitters that support an output swing range of 100-300mV with up to 12-dB of equalization. The transmitters achieve 8-16 Gb/s operation at 0.65-1.05 pJ/b energy efficiency. The second work involves a dual-mode NRZ/PAM-4 differential low-swing voltage-mode (VM) transmitter. The pulse-selected output multiplexing allows reduction of power supply and deterministic jitter caused by large on-chip parasitic inherent in the transmission-gate-based multiplexers in the earlier work. Analog impedance control replica circuits running in the background produce gate-biasing voltages that control the peaking ratio for 2-tap feed-forward equalization and PAM-4 symbol levels for high-linearity. This analog control also allows for efficient generation of the middle levels in PAM-4 operation with good linearity quantified by level separation mismatch ratio of 95%. In NRZ mode, 2-tap feedforward equalization is configurable in high-performance controlled-impedance or energy-efficient impedance-modulated settings to provide performance scalability. Analytic design consideration on dynamic power, data-rate, mismatch, and output swing brings optimal performance metric on the given technology node. The proof-of-concept prototype is verified on silicon with 65 nm CMOS process with improved performance in speed and energy-efficiency owing to double-stack NMOS transistors in the output stage. The transmitter consumes as low as 29.6mW in 20-Gb/s NRZ and 25.5mW in the 28-Gb/s PAM-4 operations

    70 Gb/s low-power DC-coupled NRZ differential electro-absorption modulator driver in 55 nm SiGe BiCMOS

    Get PDF
    We present a 70 Gb/s capable optical transmitter consisting of a 50 mu m long GeSi electro-absorption modulator (integrated in silicon photonics) and a fully differential driver designed in a 55 nm SiGe BiCMOS technology. By properly unbalancing the output stage, the driver can be dc-coupled to the modulator thus avoiding the use of on-chip or external bias-Ts. At a wavelength of 1560 nm, open eye diagrams for 70 Gb/s after transmission over 2 km standard single-mode fiber were demonstrated. The total power consumption is 61 mW, corresponding to 0.87 pJ/b at 70 Gb/s. Bit-error rate measurements at 50 Gb/s and 56 Gb/s (performed both back to back and with up to 2 km standard single-mode fiber) demonstrate large (0.4 UI at a BER of 10(-12)) horizontal eye margins. This optical transmitter is ideally suited for datacenter applications that require densely integrated transceivers with a low power consumption

    Design of High-Speed SerDes Transceiver for Chip-to-Chip Communications in CMOS Process

    Get PDF
    With the continuous increase of on-chip computation capacities and exponential growth of data-intensive applications, the high-speed data transmission through serial links has become the backbone for modern communication systems. To satisfy the massive data-exchanging requirement, the data rate of such serial links has been updated from several Gb/s to tens of Gb/s. Currently, the commercial standards such as Ethernet 400GbE, InfiniBand high data rate (HDR), and common electrical interface (CEI)-56G has been developing towards 40+ Gb/s. As the core component within these links, the transceiver chipset plays a fundamental role in balancing the operation speed, power consumption, area occupation, and operation range. Meanwhile, the CMOS process has become the dominant technology in modern transceiver chip fabrications due to its large-scale digital integration capability and aggressive pricing advantage. This research aims to explore advanced techniques that are capable of exploiting the maximum operation speed of the CMOS process, and hence provides potential solutions for 40+ Gb/s CMOS transceiver designs. The major contributions are summarized as follows. A low jitter ring-oscillator-based injection-locked clock multiplier (RILCM) with a hybrid frequency tracking loop that consists of a traditional phase-locked loop (PLL), a timing-adjusted loop, and a loop selection state-machine is implemented in 65-nm C-MOS process. In the ring voltage-controlled oscillator, a full-swing pseudo-differential delay cell is proposed to lower the device noise to phase noise conversion. To obtain high operation speed and high detection accuracy, a compact timing-adjusted phase detector tightly combined with a well-matched charge pump is designed. Meanwhile, a lock-loss detection and lock recovery is devised to endow the RILCM with a similar lock-acquisition ability as conventional PLL, thus excluding the initial frequency set- I up aid and preventing the potential lock-loss risk. The experimental results show that the figure-of-merit of the designed RILCM reaches -247.3 dB, which is better than previous RILCMs and even comparable to the large-area LC-ILCMs. The transmitter (TX) and receiver (RX) chips are separately designed and fab- ricated in 65-nm CMOS process. The transmitter chip employs a quarter-rate multi-multiplexer (MUX)-based 4-tap feed-forward equalizer (FFE) to pre-distort the output. To increase the maximum operating speed, a bandwidth-enhanced 4:1 MUX with the capability of eliminating charge-sharing effect is proposed. To produce the quarter-rate parallel data streams with appropriate delays, a compact latch array associated with an interleaved-retiming technique is designed. The receiver chip employs a two-stage continuous-time linear equalizer (CTLE) as the analog front-end and integrates an improved clock data recovery to extract the sampling clocks and retime the incoming data. To automatically balance the jitter tracking and jitter suppression, passive low-pass filters with adaptively-adjusted bandwidth are introduced into the data-sampling path. To optimize the linearity of the phase interpolation, a time-averaging-based compensating phase interpolator is proposed. For equalization, a combined TX-FFE and RX-CTLE is applied to compensate for the channel loss, where a low-cost edge-data correlation-based sign zero-forcing adaptation algorithm is proposed to automatically adjust the TX-FFEโ€™s tap weights. Measurement results show that the fabricated transmitter/receiver chipset can deliver 40 Gb/s random data at a bit error rate of 16 dB loss at the half-baud frequency, while consuming a total power of 370 mW

    Modeling and Design of High-Speed CMOS Receivers for Short-Reach Photonic Links

    Get PDF
    This dissertation presents several research outcomes towards designing high-speed CMOS optical receivers for energy-efficient short-reach optical links. First, it provides a wide survey of recently published equalizer-based receivers and presents a novel methodology to accurately calculate their noise. The proposed methodology is then used to find the receiver that achieves the best sensitivity. Second, the trade-off between sensitivity and power dissipation of the receiver is optimized to reduce the energy consumption per bit of the overall link. Design trade-offs for the receiver, transmitter, and the overall link are presented, and comparisons are made to study how much receiver sensitivity can be sacrificed to save its power dissipation before this power reduction is outpaced by the transmitterโ€™s increase in power. Unlike conventional wisdom, our results show that energy-efficient links require low-power receivers with input capacitance much smaller than that required for noise-optimum performance. Third, the thesis presents a novel equalization technique for optical receivers. A linear equalizer (LE) is realized by adding a pole in the feedback paths of an active feedback-based wideband amplifier. By embedding the peaking in the main amplifier (MA), the front-end meets the sensitivity and gain of conventional LE-based receivers with better energy efficiency by eliminating the standalone equalizer stage(s). Electrical measurements are presented to demonstrate the capability of the proposed technique in restoring the bandwidth and improving the performance over the conventional design
    corecore