514 research outputs found

    La estructura jerárquica de la Iglesia (estudio teológico-jurídico)

    Get PDF

    Testing for alpha-1 antitrypsin in COPD in outpatient respiratory clinics in Spain: A multilevel, cross-sectional analysis of the EPOCONSUL study

    Get PDF
    Background Alpha-1 antitrypsin deficiency (AATD) is the most common hereditary disorder in adults, but is under-recognized. In Spain, the number of patients diagnosed with AATD is much lower than expected according to epidemiologic studies. The objectives of this study were to assess the frequency and determinants of testing serum α1-antitrypsin (AAT) levels in COPD patients, and to describe factors associated with testing. Methods EPOCONSUL is a cross-sectional clinical audit, recruiting consecutive COPD cases over one year. The study evaluated serum AAT level determination in COPD patients and associations between individual, disease-related, and hospital characteristics. Results A total of 4,405 clinical records for COPD patients from 57 Spanish hospitals were evaluated. Only 995 (22.5%) patients had serum AAT tested on some occasion. A number of patient characteristics (being male [OR 0.5, p < 0.001], ≤55 years old [OR 2.38, p<0.001], BMI≤21 kg/m2 [OR 1.71, p<0.001], FEV1(%)<50% [OR 1.35, p<0.001], chronic bronchitis [OR 0.79, p < 0.001], Charlson index ≥ 3 [OR 0.66, p < 0.001], or history or symptoms of asthma [OR 1.32, p<0.001]), and management at a specialized COPD outpatient clinic [OR 2.73,p<0.001] were identified as factors independently associated with ever testing COPD patients for AATD. Overall, 114 COPD patients (11.5% of those tested) had AATD. Of them, 26 (22.8%) patients had severe deficiency. Patients with AATD were younger, with a low pack-year index, and were more likely to have emphysema (p<0.05). Conclusion Testing of AAT blood levels in COPD patients treated at outpatient respiratory clinics in Spain is infrequent. However, when tested, AATD (based on the serum AAT levels ≤100 mg/dL) is detected in one in five COPD patients. Efforts to optimize AATD case detection in COPD are needed.SEPA

    D-mannose transport and metabolism in isolated enterocytes

    Get PDF
    D-mannose transport and metabolism has been studied in enterocytes isolated from chicken small intestine. In the presence of Na+, the mannose taken up by the cells either remains free, is phosphorylated, is catabolized to H2O, or becomes part of membrane components. The mannose remaining free in the cytosol is released when the cells are transferred to an ice bath. The Na+-dependent D-mannose transport is electrogenic and inhibited by ouabain and dinitrophenol; its substrate specificity differs from SGLT-1 transporter. The Glut2 transporter inhibitors phloretin and cytochalasin B added following 30-min mannose uptake reduced the previously accumulated D-mannose, whereas these two agents increased the cell to external medium 3-O-methyl-glucose (3-OMG) concentration ratio. D-mannose efflux rate from preloaded D-[2-3H]-mannose enterocytes is Na+-independent. Phloretin did not affect D-mannose efflux rate, whereas it inhibited that of 3-OMG. Neither mannose uptake nor efflux rate were affected by fructose. It is concluded that part of the mannose taken up by the enterocytes is rapidly metabolized and that enterocytes have two D-mannose transport systems: one is concentrative and Na+-dependent and the other is Na+-independent and passive.Dirección General de Investiagación Científica y Técnica PM99-012

    Petrología y geoquímica isotópica de los depósitos de magnesita de la cuenca de Calatayud (provincia de Zaragoza). Interpretación paleoambiental

    Get PDF
    Los sedimentos miocenos de la Cuenca de Calatayud, en particular los correspondientes a las unidades Inferior e Intermedia, contienen nllmerosos niveles de magnesita, que aparecen comúnmente asociados con depósitos lacustres sulfatados (anhidrita, yeso, glauberita), así como con margas y arcillas. L¡t magnesita de la Cuenca de Calatayud es de tipocriptocristalino, presentándose en capas masivas de color blanquecino, de espesor variable (mm a dm), entre arcillas, margas, niveles de yeso laminado y yeso nodular. Se han distinguido cuatro asociaciones de facies (MLH, Mys, Myp, MIN) con presencia de magnesita. Estas asociaciones de facies se ordenan básicamente en dos tipos de secuencias sedimentarias principales que reflejan, en un caso, la evolución de subambientes lacustres de alta salinidad y, en otro, de salinidad moderada a alta. La textura de la magnesita, observada mediante M.E.B. en muestras con alta pureza en este mineral, se caracteriza por presentar mosaicos densos y homogéneos de cristales romboédricos euhedrales a subeuhedrales, con tamaño alrededor de 1 m.Los valores de isótopos estables (0180, 013C) de la magnesita (n=34) muestran una ciertadispersión, con valores de 0180 de -4,8 a +4,9 %0 PDB y valores negativos de 013C, de -9,3 a +0,4 %0 PDB, más bajos en los niveles de magnesita correspondientes a las asociaciones de facies MLH y Mys. Las características estratigráficas, texturales e isotópicas sugieren que la magnesita se formó en condiciones evaporíticas, posiblemente por precipitación primaria a partir de soluciones concentradas con mezcla de aguas meteóricas, ricas en CO2 biogénico, aunque los valores negativos de o\3C pueden interpretarse también como reflejo de la actividad bacteriana en la precipitación de la magnesita. El análisis de las asociaciones de facies en que aparece la magnesita y su evolución secuencial permiten concluir que los depósitos de magnesita de la Cuenca de Calatayud se formaron tanto en condiciones lacustres hipersalinas como de salinidad moderada a alta

    POWAR: Power-Aware Routing in HPC Networks with On/Off Links

    Full text link
    [EN] In order to save energy in HPC interconnection networks, one usual proposal is to switch idle links into a low-power mode after a certain time without any transmission, as IEEE Energy Efficient Ethernet standard proposes. Extending the low-power mode mechanism, we propose POWer-Aware Routing (POWAR), a simple power-aware routing and selection function for fat-tree and torus networks. POWAR adapts the amount of network links that can be used, taking into account the network load, and obtaining great energy savings in the network (55%-65%) and the entire system (9%-10%) with negligible performance overhead.This work has been supported by the Spanish MINECO and European Commission (FEDER funds) under project TIN2015-66972-C5-1-R. Francisco J. Andujar has been partially funded by the Spanish MICINN and by the ERDF program of the European Union: PCAS Project (TIN2017-88614-R), CAPAP-H6 (TIN2016-81840-REDT), and Junta de Castilla y Leon FEDER Grant VA082P17 (PROPHET Project).Andújar-Muñoz, FJ.; Coll, S.; Alonso Díaz, M.; López Rodríguez, PJ.; Martínez-Rubio, J. (2019). POWAR: Power-Aware Routing in HPC Networks with On/Off Links. ACM Transactions on Architecture and Code Optimization. 15(4):1-22. https://doi.org/10.1145/3293445S122154Abts, D., Marty, M. R., Wells, P. M., Klausler, P., & Liu, H. (2010). Energy proportional datacenter networks. Proceedings of the 37th annual international symposium on Computer architecture - ISCA ’10. doi:10.1145/1815961.1816004Adiga, N. R., Blumrich, M. A., Chen, D., Coteus, P., Gara, A., Giampapa, M. E., … Vranas, P. (2005). Blue Gene/L torus interconnection network. IBM Journal of Research and Development, 49(2.3), 265-276. doi:10.1147/rd.492.0265M. Alonso S. Coll J. M. Martínez V. Santonja and P. López. 2015. Power consumption management in fat-tree interconnection networks. Parallel Comput. 48 C (Oct. 2015) 59--80. 10.1016/j.parco.2015.03.007 M. Alonso S. Coll J. M. Martínez V. Santonja and P. López. 2015. Power consumption management in fat-tree interconnection networks. Parallel Comput. 48 C (Oct. 2015) 59--80. 10.1016/j.parco.2015.03.007Marina Alonso, Coll, S., Martínez, J.-M., Santonja, V., López, P., & Duato, J. (2010). Power saving in regular interconnection networks. Parallel Computing, 36(12), 696-712. doi:10.1016/j.parco.2010.08.003Bob Alverson Edwin Froese Larry Kaplan and Duncan Roweth. 2012. Cray XC series network. Cray Inc. White Paper WP-Aries01-1112 (2012). Bob Alverson Edwin Froese Larry Kaplan and Duncan Roweth. 2012. Cray XC series network. Cray Inc. White Paper WP-Aries01-1112 (2012).Anderson, T. E., Owicki, S. S., Saxe, J. B., & Thacker, C. P. (1993). High-speed switch scheduling for local-area networks. ACM Transactions on Computer Systems, 11(4), 319-352. doi:10.1145/161541.161736Andujar, F. J., Villar, J. A., Sanchez, J. L., Alfaro, F. J., & Escudero-Sahuquillo, J. (2015). VEF Traces: A Framework for Modelling MPI Traffic in Interconnection Network Simulators. 2015 IEEE International Conference on Cluster Computing. doi:10.1109/cluster.2015.141Barroso, L. A., & Hölzle, U. (2007). The Case for Energy-Proportional Computing. Computer, 40(12), 33-37. doi:10.1109/mc.2007.443Camacho, J., & Flich, J. (2011). HPC-Mesh: A Homogeneous Parallel Concentrated Mesh for Fault-Tolerance and Energy Savings. 2011 ACM/IEEE Seventh Symposium on Architectures for Networking and Communications Systems. doi:10.1109/ancs.2011.17Chen, D., Parker, J. J., Eisley, N. A., Heidelberger, P., Senger, R. M., Sugawara, Y., … Steinmacher-Burow, B. (2011). The IBM Blue Gene/Q interconnection network and message unit. Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis on - SC ’11. doi:10.1145/2063384.2063419Chen, L., & Pinkston, T. M. (2012). NoRD: Node-Router Decoupling for Effective Power-gating of On-Chip Routers. 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture. doi:10.1109/micro.2012.33Christensen, K., Reviriego, P., Nordman, B., Bennett, M., Mostowfi, M., & Maestro, J. (2010). IEEE 802.3az: the road to energy efficient ethernet. IEEE Communications Magazine, 48(11), 50-56. doi:10.1109/mcom.2010.5621967Dally, & Seitz. (1987). Deadlock-Free Message Routing in Multiprocessor Interconnection Networks. IEEE Transactions on Computers, C-36(5), 547-553. doi:10.1109/tc.1987.1676939Das, R., Narayanasamy, S., Satpathy, S. K., & Dreslinski, R. G. (2013). Catnap. Proceedings of the 40th Annual International Symposium on Computer Architecture - ISCA ’13. doi:10.1145/2485922.2485950Derradji, S., Palfer-Sollier, T., Panziera, J.-P., Poudes, A., & Atos, F. W. (2015). The BXI Interconnect Architecture. 2015 IEEE 23rd Annual Symposium on High-Performance Interconnects. doi:10.1109/hoti.2015.15Jack Dongarra Hans W. Meuer and Erich Strohmaier. 2018. TOP500 Supercomputer Sites. Retrieved from https://www.top500.org. Jack Dongarra Hans W. Meuer and Erich Strohmaier. 2018. TOP500 Supercomputer Sites. Retrieved from https://www.top500.org.Duato, J. (1993). A new theory of deadlock-free adaptive routing in wormhole networks. IEEE Transactions on Parallel and Distributed Systems, 4(12), 1320-1331. doi:10.1109/71.250114José Duato Sudhakar Yalamanchili and Lionel Ni. 2003. Interconnection Networks. An Engineering Approach. Morgan Kaufmann Publishers Inc. San Francisco CA. José Duato Sudhakar Yalamanchili and Lionel Ni. 2003. Interconnection Networks. An Engineering Approach. Morgan Kaufmann Publishers Inc. San Francisco CA.GALGO 2017. GALGO—Albacete Research Institute of Informatics Supercomputer Center homepage. Retrieved from http://www.i3a.uclm.es/galgo. GALGO 2017. GALGO—Albacete Research Institute of Informatics Supercomputer Center homepage. Retrieved from http://www.i3a.uclm.es/galgo.Greenberg, A., Hamilton, J., Maltz, D. A., & Patel, P. (2008). The cost of a cloud. ACM SIGCOMM Computer Communication Review, 39(1), 68-73. doi:10.1145/1496091.1496103HPCC {n.d.}. HPC Challenge Benchmark. Retrieved from http://icl.cs.utk.edu/hpcc/index.html. HPCC {n.d.}. HPC Challenge Benchmark. Retrieved from http://icl.cs.utk.edu/hpcc/index.html.Hluchyj, M. G., & Karol, M. J. (1988). Queueing in high-performance packet switching. IEEE Journal on Selected Areas in Communications, 6(9), 1587-1597. doi:10.1109/49.12886Koibuchi, M., Otsuka, T., Hiroki Matsutani, & Amano, H. (2009). An on/off link activation method for low-power ethernet in PC clusters. 2009 IEEE International Symposium on Parallel & Distributed Processing. doi:10.1109/ipdps.2009.5161069Phillips, J. C., Braun, R., Wang, W., Gumbart, J., Tajkhorshid, E., Villa, E., … Schulten, K. (2005). Scalable molecular dynamics with NAMD. Journal of Computational Chemistry, 26(16), 1781-1802. doi:10.1002/jcc.20289Pronk, S., Páll, S., Schulz, R., Larsson, P., Bjelkmar, P., Apostolov, R., … Lindahl, E. (2013). GROMACS 4.5: a high-throughput and highly parallel open source molecular simulation toolkit. Bioinformatics, 29(7), 845-854. doi:10.1093/bioinformatics/btt055Reviriego, P., Hernandez, J., Larrabeiti, D., & Maestro, J. (2009). Performance evaluation of energy efficient ethernet. IEEE Communications Letters, 13(9), 697-699. doi:10.1109/lcomm.2009.090880K. P. Saravanan and P. Carpenter. 2018. PerfBound: Conserving energy with bounded overheads in on/off-based HPC interconnects. IEEE Trans. Comput. (2018) 1--1. 10.1109/TC.2018.2790394 K. P. Saravanan and P. Carpenter. 2018. PerfBound: Conserving energy with bounded overheads in on/off-based HPC interconnects. IEEE Trans. Comput. (2018) 1--1. 10.1109/TC.2018.2790394Saravanan, K. P., Carpenter, P. M., & Ramirez, A. (2013). Power/performance evaluation of energy efficient Ethernet (EEE) for High Performance Computing. 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). doi:10.1109/ispass.2013.6557171Soteriou, V., & Li-Shiuan Peh. (s. f.). Dynamic power management for power optimization of interconnection networks using on/off links. 11th Symposium on High Performance Interconnects, 2003. Proceedings. doi:10.1109/conect.2003.1231472Totoni, E., Jain, N., & Kale, L. V. (2013). Toward Runtime Power Management of Exascale Networks by on/off Control of Links. 2013 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum. doi:10.1109/ipdpsw.2013.191VEF 2017. VEF traces homepage. Retrieved from http://www.i3a.info/VEFtraces. VEF 2017. VEF traces homepage. Retrieved from http://www.i3a.info/VEFtraces

    Comparison of the design and methodology of Phase 3 clinical trials of bictegravir/emtricitabine/tenofovir alafenamide (BIC/FTC/TAF) and dolutegravir-based dual therapy (DTG) in HIV: a systematic review of the literature

    Full text link
    Introduction: Current recommended antiretroviral regimens include a combination of two (dual; DT) or three (triple; TT) antiretroviral drugs. This study aims to determine whether the quality of evidence from clinical trials of dolutegravir (dolutegravir/lamivudine [DTG/3TC] or dolutegravir/rilpivirine [DTG/RPV]) is methodologically comparable to that of clinical trials conducted with bictegravir/emtricitabine/tenofovir alafenamide (BIC/FTC/TAF). Areas covered: A systematic review of the medical literature was carried out in PubMed without date or language restrictions, following the PRISMA guidelines. All aspects of the methodological design of phase 3 randomized clinical trials (RCTs) of DT and TT, evaluated by the European Medicines Agency (registration trials), were reviewed. The quality of clinical trials was assessed using the Jadad scale. Expert opinion: The search identified 5, 3 and 2 phase 3 RCTs with BIC/FTC/TAF, DTG/3TC and DTG/RPV, respectively, that met the inclusion criteria. The designs would not be comparable due to differences in pre-randomization losses, blinding, patient recruitment, as well as differences in methodological quality, with the average score of the RCTs conducted with BIC/FTC/TAF, DTG/3TC and DTG/RPV being 4.2 (high quality), 3.0 (medium quality) and 3.0 (medium quality), respectively. Due to methodological differences between the BIC/FTC/TAF, DTG/3TC and DTG/RPV RCTs, the results of these are not comparable

    Energy efficient HPC network topologies with on/off links

    Full text link
    [EN] Energy efficiency is a must in today HPC systems. To achieve this goal, a holistic design based on the use of power-aware components should be performed. One of the key components of an HPC system is the high-speed interconnect. In this paper, we compare and evaluate several design options for the interconnection network of an HPC system, including torus, fat-trees and dragonflies. State of the art low power modes are also used in the interconnection networks. The paper does not only consider energy efficiency at the interconnection network level but also at the system as a whole.The analysis is performed by using a simple yet realistic power model of the system. The model has been adjusted using actual power consumption values measured on a real system. Using this model, realistic multi-job trace-based workloads have been used, obtaining the execution time and energy consumed. The results are presented to ease choosing a system, depending on which parameter, performance or energy consumption, receives the most importance.This work has been supported by the Spanish Ministerio de Ciencia e Innovacion (MICINN, formerly MINECO) , and the European Commission (FEDER funds) under the projects PID2019- 105903RB-100 and PID2021-123627OB-C5, and by Junta de Comunidades de Castilla -La Mancha under the project SBPLY/21/180501/000248.Andújar-Muñoz, FJ.; Coll, S.; Alonso Díaz, M.; Martínez-Rubio, J.; López Rodríguez, PJ.; Sánchez García, JL.; Alfaro Cortés, FJ. (2023). Energy efficient HPC network topologies with on/off links. Future Generation Computer Systems. 139:126-138. https://doi.org/10.1016/j.future.2022.09.01212613813

    Papel de la inflamación y la adhesión leucocitaria en la fisiopatología del daño orgánico en la hipertensión arterial.

    Get PDF
    La hipertensión arterial aumenta el estrés oxidativo, el cual a su vez impide una adecuada función endotelial. La disfunción endotelial es común en los pacientes hipertensos; se asocia con inflamación, incremento de algunos mediadores de la inflamación y daño a órgano blanco. En presencia de disfunción endotelial, se expresan en el endotelio vascular moléculas de adhesión, las cuales facilitan el rodamiento de los leucocitos (especialmente monocitos) sobre la pared arterial, así como su paso al espacio subendotelial. Estas moléculas se han asociado con algunas complicaciones de la hipertensión arterial. Se ha identificado un posible nexo entre inflamación e hipertensión arterial, y recientemente se ha destacado el papel de la inflamación en el desarrollo de las complicaciones cardiovasculares de la enfermedad, en este escrito revisaremos los mecanismos que contribuyen a ese nexo, así como el papel de la inflamación en el desarrollo de complicaciones en el paciente hipertenso
    corecore