18 research outputs found

    Mast Cells Express 11 beta-hydroxysteroid Dehydrogenase Type 1: A Role in Restraining Mast Cell Degranulation:a role in restraining mast cell degranulation

    Get PDF
    Mast cells are key initiators of allergic, anaphylactic and inflammatory reactions, producing mediators that affect vascular permeability, angiogenesis and fibrosis. Glucocorticoid pharmacotherapy reduces mast cell number, maturation and activation but effects at physiological levels are unknown. Within cells, glucocorticoid concentration is modulated by the 11ÎČ-hydroxysteroid dehydrogenases (11ÎČ-HSDs). Here we show expression and activity of 11ÎČ-HSD1, but not 11ÎČ-HSD2, in mouse mast cells with 11ÎČ-HSD activity only in the keto-reductase direction, regenerating active glucocorticoids (cortisol, corticosterone) from inert substrates (cortisone, 11-dehydrocorticosterone). Mast cells from 11ÎČ-HSD1-deficient mice show ultrastructural evidence of increased activation, including piecemeal degranulation and have a reduced threshold for IgG immune complex-induced mast cell degranulation. Consistent with reduced intracellular glucocorticoid action in mast cells, levels of carboxypeptidase A3 mRNA, a glucocorticoid-inducible mast cell-specific transcript, are lower in peritoneal cells from 11ÎČ-HSD1-deficient than control mice. These findings suggest that 11ÎČ-HSD1-generated glucocorticoids may tonically restrain mast cell degranulation, potentially influencing allergic, anaphylactic and inflammatory responses

    Spatially resolved electron energy-loss near-edge structure analysis of a near ÎŁ = 11 tilt boundary in sapphire

    No full text
    Spatially resolved electron energy-loss spectra have been recorded from the same E = 11 grain boundary of a high purity sapphire (α - Al 2O3) bi-crystal that had previously been examined by high resolution electron microscopy. The energy-loss near-edge structure (ELNES) on the aluminium L2,3 edge of the bulk α - Al2O3 is consistent with previously published X-ray absorption near-edge spectra (XANES). The presence of the grain-boundary introduces additional fine structure on the L2,3 edge, which is extracted from the dominant bulk spectrum using the chemical-standard "difference" method. A comparison with various spectral "fingerprints" suggests that the structural environment of the Al cations within the boundary plane has a reduced point-group symmetry and coordination number. Quantitative analyses of spectral intensities indicate that the equivalent of a full monolayer of Al cations is involved in the structural change at the boundary. These experimental observations are consistent with a recently calculated low energy relaxed grain-boundary structure

    Spectrum-Line Profile Analysis of a Magnesium Aluminate Spinel Sapphire Interface

    No full text
    Spatially resolved chemical composition and spectroscopic line profiles have been gathered from the space charge region across a spinel/sapphire interface using a dedicated scanning transmission electron microscope. The electron energy-loss spectra reveal an excess of Mg (relative to stoichiometric spinel) along with Cr segregated to the interfacial zone. A quantitative least squares decomposition of a spectrum-line profile of the Al L2, 3{\rm Al\ }L_{{\rm 2,\ 3}} absorption edge fine structures into two standard components clearly highlights the transition from sapphire into spinel; further analysis indicates that the degree of site inversion, which is the fractional occupancy of tetrahedral sites by trivalent Al, increases within 5 nm of the boundary. The overall charge neutrality at the interface is maintained by the increased concentration of negative charge, probably interstitial O anions which are also present in excess quantity relative to stoichiometric spinel and sapphire

    Strain-Driven Mound Formation of Substrate under Epitaxial Nanoparticles

    No full text
    We observe the growth of crystalline SiC nanoparticles on Si(001) at 900 °C using in situ electron microscopy. Following nucleation and growth of the SiC, there is a massive migration of Si, forming a crystalline Si mound underneath each nanoparticle that lifts it 4–5 nm above the initial growth surface. The volume of the Si mounds is roughly five to seven times the volume of the SiC nanoparticles. We propose that relaxation of strain drives the mound formation. This new mechanism for relieving interfacial strain, which involves a dramatic restructuring of the substrate, is in striking contrast to the familiar scenario in which only the deposited material restructures to relieve strain

    Facet-selective group-III incorporation in InGaAs template assisted selective epitaxy

    No full text
    InGaAs is a potential candidate for Si replacement in upcoming advanced technological nodes because of its excellent electron transport properties and relatively low interface defect density in dielectric gate stacks. Therefore, integrating InGaAs devices with the established Si platforms is highly important. Using template-assisted selective epitaxy (TASE), InGaAs nanowires can be monolithically integrated with high crystal quality, although the mechanisms of group III incorporation in this ternary material have not been thoroughly investigated. Here we present a detailed study of the compositional variations of InGaAs nanostructures epitaxially grown on Si(111) and Silicon-on-insulator substrates by TASE. We present a combination of XRD data and detailed EELS maps and find that the final Ga/In chemical composition depends strongly on both growth parameters and the growth facet type, leading to complex compositional sub-structures throughout the crystals. We can further conclude that the composition is governed by the facet-dependent chemical reaction rates at low temperature and low V/III ratio, while at higher temperature and V/III ratio, the incorporation is transport limited. In this case we see indications that the transport is a competition between Knudsen flow and surface diffusion

    Ma grande Ă©glise et ma petite chapelle

    No full text
    « Ma grande Ă©glise et ma petite chapelle » : c’est ainsi que Gaston Paris, illustre mĂ©diĂ©viste, dĂ©signait en 1894 le CollĂšge de France et l’École pratique des hautes Ă©tudes, les deux Ă©tablissements entre lesquels il partagea son enseignement. FondĂ©es respectivement en 1530 et en 1868, ces deux maisons de la « science en voie de se faire » (Ernest Renan) n’ont cessĂ© d’entretenir des liens presque symbiotiques : de trĂšs nombreux enseignants sont passĂ©s de l’une Ă  l’autre ou ont exercĂ© simultanĂ©ment dans les deux. Ce sont ces affinitĂ©s Ă©lectives que ce livre, issu d’un colloque organisĂ© au CollĂšge de France lors du cent-cinquantenaire de l’EPHE, tente de mettre en lumiĂšre Ă  travers des Ă©tudes sur l’histoire de ces deux institutions, des bilans par discipline (grammaire comparĂ©e, histoire des religions, anthropologie, sinologie, assyriologie, Ă©gyptologie) et des portraits de quelques personnalitĂ©s marquantes (Ernest Renan, Gaston Paris, Abel Lefranc, Sylvain LĂ©vi, Louis Robert). Au-delĂ  de l’anecdotique, de la prosopographie ou des hasards des croisements institutionnels, ces Ă©tudes sont l’occasion de rĂ©flĂ©chir sur le rĂŽle conjoint de ces deux Ă©tablissements dans l’histoire des savoirs.“Ma grande Ă©glise et ma petite chapelle”: this is how, in 1894, the eminent medievalist Gaston Paris referred to the two institutions at which he taught: the CollĂšge de France and the École pratique des hautes Ă©tudes (EPHE). Founded respectively in 1530 and 1868, these two places of “science in the making” (Ernest Renan) have maintained almost symbiotic ties, as many scholars and professors have moved from one to the other or have worked simultaneously in both. It is on these elective affinities that this book, the product of a symposium organized at the CollĂšge de France on the occasion of the EPHE’s 150th anniversary, sheds light through studies on the history of these two institutions, reviews by discipline (comparative grammar, history of religions, anthropology, Sinology, Assyriology, Egyptology) and portraits of some key personalities (Ernest Renan, Gaston Paris, Abel Lefranc, Sylvain LĂ©vi, Louis Robert). Beyond the anecdotal, prosopography or fortuitous institutional crossovers, these studies afford an opportunity to reflect on the joint role of these two institutions in the history of knowledge

    Aggressive SiGe Channel Gate Stack Scaling by Remote Oxygen Scavenging: Gate-First pFET Performance and Reliability Remotely M-doped TiN La-based cap O Biaxially strained SiGe Remotely M-doped TiN Al 2 O 3 cap (optional) O Si nFET pFET Si cap (optional) S

    No full text
    We demonstrate that aggressive gate dielectric scaling in hafnium-based high-k/metal gate p-channel metal-oxide-semiconductor field-effect transistors (pMOSFETs) with biaxially strained silicon germanium channels can be achieved in gate-first integration via remote interfacial SiO 2 scavenging by metal-doped titanium nitride gates. An inversion thickness of 0.86 nm is reached, corresponding to an equivalent oxide thickness (EOT) of 0.45-0.5 nm. Interlayer-scaling-induced threshold voltage increase and hole mobility reduction are studied in detail. We further establish an exponential interlayer thickness dependence of negative bias temperature instability (NBTI). Previously shown to be effective for nFETs, remote oxygen scavenging is an attractive scaling option for dual-channel CMOS. © 2012 The Electrochemical Society. [DOI: 10.1149/2.005302ssl] All rights reserved. Biaxially strained silicon germanium channels (cSiGe) epitaxially grown on silicon have recently received much attention for their ability to reduce the often undesirably high threshold voltage (V t ) of hafnium-based high-k/metal gate (HKMG) p-channel metal-oxide-semiconductor field-effect transistors (pMOSFETs or pFETs). 1-5 They are typically combined with Si channel nFETs. In order for such dual-channel complementary MOS (CMOS) to be a viable option for future technology nodes requiring continued reductions in equivalent oxide thickness (EOT), simultaneous gate dielectric scaling for both nFETs and pFETs has to be achieved. We have previously shown that aggressive gate dielectric scaling in Si channel nFETs can be achieved in gate-first integration via remote interfacial SiO 2 layer ('interlayer') scavenging by TiN gate electrodes doped with high-oxygen-affinity metals. 6-8 Herein, we demonstrate that the same approach is viable for SiGe channel pFETs. We then use this scavenging approach to establish quantitative guidelines on interlayer-scaling-induced pFET V t increase, hole mobility reduction, and negative bias temperature instability (NBTI) degradation. Experimental Planar transistors were fabricated in a gate-first process flow, as follows ( ‱ C rapid thermal anneal (RTA) for dopant activation, salicide source/drain metallization, and a final forming gas anneal (FGA) or special cSiGe anneal. 1 While we report data from planar devices, given a sufficiently conformal gate electrode the remote oxygen scavenging approach is expected to be viable for FinFET or Tri-Gate devices as well. Results and Discussion Exemplary transmission electron microscopy (TEM) images of Sicapped low-Ge-content cSiGe pFETs with Al 2 O 3 cap z E-mail: [email protected] capacitance equivalent thickness in inversion ('inversion thickness', T inv ) from 1.41 to 0.86 nm Comparing cSiGe devices with the same Ge content but different Si cap thickness (regular, thin, none) at a given amount of M doping, the T inv data in It has been reported that T inv of Si-capped SiGe channel transistors increases with increasing Si cap thickness, due to the formation of a SiGe quantum well for holes, i.e. a buried channel. 2,9 Our data show no such T inv increase from thin to regular Si caps Finally, since Si caps probably can only prevent regrowth while they are still intact, we note that interlayer regrowth on uncappe
    corecore