78 research outputs found

    A Methodical Approach for Pcb Pdn Decoupling Minimizing overdesign with Genetic Algorithm Optimization

    Get PDF
    An optimization routine is applied for the decoupling capacitor placement on Power Distribution Networks to identify the limit beyond which the placement of additional decaps is no longer effective, thus leading to wasting layout area and components, and to a cost increase. A specific test example from a real design is used together with the required target impedance and frequency band of interest for the PDN design. The effectiveness of the decap placement while selecting different layers of the stack-up, and while moving the upper limit of the PDN design band is analyzed. Such analysis leads to helpful insights based on the progression of the input impedance during the optimization process, and to develop useful guidelines for avoiding over-design of the PDN

    Optimal Power Delivery Strategy in Modern VLSI Design

    Get PDF
    Department of Electrical EngineeringIn a modern very-large-scale integration (VLSI) designs, heterogeneous architectural structures and various three-dimensional (3D) integration methods have been used in a hybrid manner. Recently, the industry has combined 3D VLSI technology with the heterogeneous technology of modern VLSI called chiplet. The 3D heterogeneous architectural structure is growing attention because it reduces costs and time-to-market by increasing manufacturing yield with high integration rate and modularization. However, a main design concern of heterogeneous 3D architectural structure is power management for lowering power consumption with maintaining the required power integrity from IR drop. Although the low-power design can be realized in front-end-of-line level by reduced power supply complementary metal???oxide???semiconductor technologies, the overall low-power system performance is available with a proper design of power delivery network (PDN) for chip-level modules and system-level architectural structure. Thus, there is a demand for both the coanalysis and optimization for both chip-level and system-level. We analyzed and optimized power delivery on-chip in various 3D integration environments, and we also have proposed a chip-package-PCB coanalysis methodology at the system level. For through-silicon-via (TSV)-based 3D integration circuit (IC), We have investigated and analyzed the voltage noise in a multi-layer 3D stacking with partial element equivalent circuit (PEEC)-based on-chip PDN and frequency-dependent TSV models. We also have proposed a wire-added multi-paired on-chip PDN structure to reduce voltage noise to reduce IR drop. The performance of TSV-based 3D ICs has also been improved by reducing wake-up time through our proposed adaptive power gating strategy with tapered TSVs. For die-to-wafer 3D IC, we have proposed a power delivery pathfinding methodology, which seeks to identify a nearly optimal PDN for a given design and PDN specification. Our pathfinding methodology exploits models for routability and worst IR drop, which helps reducing iterations between PDN design and circuit design in 3D IC implementation. We also have extended the observation to system-level, we have proposed a power integrity coanalysis methodology for multiple power domains in high-frequency memory systems. Our coanalysis methodology can analyze the tendencies in power integrity by using parametric methods with consideration of package-on-package integration. We have proved that our methodology can predict similar peak-to-peak ripple voltages that are comparable with the realistic simulations of high-speed low-power memory interfaces. Finally, we have proposed analysis and optimization methodologies that are generally applicable to various integration methods used in modern VLSI designs as computer-aided-design-based solutions.clos

    Scalable Analysis, Verification and Design of IC Power Delivery

    Get PDF
    Due to recent aggressive process scaling into the nanometer regime, power delivery network design faces many challenges that set more stringent and specific requirements to the EDA tools. For example, from the perspective of analysis, simulation efficiency for large grids must be improved and the entire network with off-chip models and nonlinear devices should be able to be analyzed. Gated power delivery networks have multiple on/off operating conditions that need to be fully verified against the design requirements. Good power delivery network designs not only have to save the wiring resources for signal routing, but also need to have the optimal parameters assigned to various system components such as decaps, voltage regulators and converters. This dissertation presents new methodologies to address these challenging problems. At first, a novel parallel partitioning-based approach which provides a flexible network partitioning scheme using locality is proposed for power grid static analysis. In addition, a fast CPU-GPU combined analysis engine that adopts a boundary-relaxation method to encompass several simulation strategies is developed to simulate power delivery networks with off-chip models and active circuits. These two proposed analysis approaches can achieve scalable simulation runtime. Then, for gated power delivery networks, the challenge brought by the large verification space is addressed by developing a strategy that efficiently identifies a number of candidates for the worst-case operating condition. The computation complexity is reduced from O(2^N) to O(N). At last, motivated by a proposed two-level hierarchical optimization, this dissertation presents a novel locality-driven partitioning scheme to facilitate divide-and-conquer-based scalable wire sizing for large power delivery networks. Simultaneous sizing of multiple partitions is allowed which leads to substantial runtime improvement. Moreover, the electric interactions between active regulators/converters and passive networks and their influences on key system design specifications are analyzed comprehensively. With the derived design insights, the system-level co-design of a complete power delivery network is facilitated by an automatic optimization flow. Results show significant performance enhancement brought by the co-design

    On-Chip Power Supply Noise: Scaling, Suppression and Detection

    Get PDF
    Design metrics such as area, timing and power are generally considered as the primary criteria in the design of modern day circuits, however, the minimization of power supply noise, among other noise sources, is appreciably more important since not only can it cause a degradation in these parameters but can cause entire chips to fail. Ensuring the integrity of the power supply voltage in the power distribution network of a chip is therefore crucial to both building reliable circuits as well as preventing circuit performance degradation. Power supply noise concerns, predicted over two decades ago, continue to draw significant attention, and with present CMOS technology projected to keep on scaling, it is shown in this work that these issues are not expected to diminish. This research also considers the management and on-chip detection of power supply noise. There are various methods of managing power supply noise, with the use of decoupling capacitors being the most common technique for suppressing the noise. An in-depth analysis of decap structures including scaling effects is presented in this work with corroborating silicon results. The applicability of various decaps for given design constraints is provided. It is shown that MOS-metal hybrid structures can provide a significant increase in capacitance per unit area compared to traditional structures and will continue to be an important structure as technology continues to scale. Noise suppression by means of current shifting within the clock period of an ALU block is further shown to be an additional method of reducing the minimum voltage observed on its associated supply. A simple, and area and power efficient technique for on-chip supply noise detection is also proposed

    Signal and power integrity co-simulation using the multi-layer finite difference method

    Get PDF
    Mixed signal system-on-package (SoP) technology is a key enabler for increasing functional integration, especially in mobile and wireless systems. Due to the presence of multiple dissimilar modules, each having unique power supply requirements, the design of the power distribution network (PDN) becomes critical. Typically, this PDN is designed as alternating layers of power and ground planes with signal interconnects routed in between or on top of the planes. The goal for the simulation of multi-layer power/ground planes, is the following: Given a stack-up and other geometrical information, it is required to find the network parameters (S/Y/Z) between port locations. Commercial packages have extremely complicated stack-ups, and the trend to increasing integration at the package level only points to increasing complexity. It is computationally intractable to solve these problems using these existing methods. The approach proposed in this thesis for obtaining the response of the PDN is the multi-layer finite difference method (M-FDM). A surface mesh / finite difference based approach is developed, which leads to a system matrix that is sparse and banded, and can be solved efficiently. The contributions of this research are the following: 1. The development of a PDN modeler for multi-layer packages and boards called the the multi-layer finite difference method. 2. The enhancement of M-FDM using multi-port connection networks to include the effect of fringe fields and gap coupling. 3. An adaptive triangular mesh based scheme called the multi-layer finite element method (MFEM) to address the limitations of M-FDM 4. The use of modal decomposition for the co-simulation of signal nets with the PDN. 5. The use of a robust GA-based optimizer for the selection and placement of decoupling capacitors in multi-layer geometries. 6. Implementation of these methods in a tool called MSDT 1.Ph.D.Committee Chair: Madhavan Swaminathan; Committee Member: Andrew F. Peterson; Committee Member: David C. Keezer; Committee Member: Saibal Mukhopadyay; Committee Member: Suresh Sitarama

    FinFET Cell Library Design and Characterization

    Get PDF
    abstract: Modern-day integrated circuits are very capable, often containing more than a billion transistors. For example, the Intel Ivy Bridge 4C chip has about 1.2 billion transistors on a 160 mm2 die. Designing such complex circuits requires automation. Therefore, these designs are made with the help of computer aided design (CAD) tools. A major part of this custom design flow for application specific integrated circuits (ASIC) is the design of standard cell libraries. Standard cell libraries are a collection of primitives from which the automatic place and route (APR) tools can choose a collection of cells and implement the design that is being put together. To operate efficiently, the CAD tools require multiple views of each cell in the standard cell library. This data is obtained by characterizing the standard cell libraries and compiling the results in formats that the tools can easily understand and utilize. My thesis focusses on the design and characterization of one such standard cell library in the ASAP7 7 nm predictive design kit (PDK). The complete design flow, starting from the choice of the cell architecture, design of the cell layouts and the various decisions made in that process to obtain optimum results, to the characterization of those cells using the Liberate tool provided by Cadence design systems Inc., is discussed in this thesis. The end results of the characterized library are used in the APR of a few open source register-transfer logic (RTL) projects and the efficiency of the library is demonstrated.Dissertation/ThesisMasters Thesis Computer Engineering 201

    Parts, materials, and processes experience summary, volume 2

    Get PDF
    This summary provides the general engineering community with the accumulated experience from ALERT reports issued by NASA and the Government-Industry. Data Exchange Program, and related experience gained by Government and industry. It provides expanded information on selected topics by relating the problem area (failure) to the cause, the investigation and findings, the suggestions for avoidance (inspections, screening tests, proper part applications, requirements for manufacturer's plant facilities, etc.), and failure analysis procedures. Diodes, integrated circuits, and transistors are covered in this volume

    Estudi de la desencapsulació electrónica

    Get PDF
    Treballs Finals de Grau d'Enginyeria Química, Facultat de Química, Universitat de Barcelona, Curs: 2014-2015, Tutors: Jordi Bonet i Ruiz i Olga Perarnau i MeliàThis project has been carried out at DENSO Barcelona and it is focused on the study of electronic components decapsulation by means of automatic disassembling system using chemical products. DENSO is a leading supplier of advanced automotive technology, systems and components for major automakers. All electronic components are encapsulated in mold to protect internal structure of external damages compound. The automatic decapsulation system takes an integrated circuit encapsulated in mold compound and removes it using a user-specified chemical solution. The main objective of this processing at automotive industry consists in detect and seen semiconductor failure analysis. In the automatic decap user can modify the etch parameters (etching temperature, etching time, acid mixture…) depending sample measures and material composition. The target of this project is to make experimental tests with different electronic components to create an optimal decapsulation handbook, for in the future only seeing the component user will be able to select the correct etching program, and study the effect of acids over bonding wires(connections between chip surfaces and terminals in a component) when the material used as copper. During the study engineer will understand semiconductors materials fabrication, internal packaging structure, chemicals usage for decapsulation and rinse, safety operations in the laboratory and analysis techniques. Trial and error method will be used to establish the best etching conditions. A total of 10 decapsulation programs are created for different electronic components (integrated circuits and transistors) during this project. For the study of acids effects in copper material, the automatic decapsulation machine presents, Bias Voltage (method create to protect copper). Different voltages will be tested in this project in order to establish a good value for in the future protect the copper wires. Satisfactory results are obtained and an optimal voltage can be established

    Greening the revolution revisited - Farmers, NGOs and the Cuban state

    Get PDF
    In this thesis I revisit the acclaimed transformation towards organic agriculture in Cuba. Using Lefebvre's trialectics of space, I explore how dominant representations of Organic' agricultural space in Cuba, the so-called 'Greening of the Revolution’, was created through government institutions and public policy. I further investigate the locally lived gendered realities of farmers in a selected cooperative. I argue that the prevailing imaginary of a state-led nationwide transformation needs to be deconstructed and the role of NGOs, in particular Northern NGOs, to be fully acknowledged in the creation of 'organic' agriculture in Cuba. Northern NGOs were attracted by the romanticist environmental imagery of Cuba’s green agriculture. เท securing funding from donors, they have framed agr๐-ecology in Cuba according to their own understandings as well as needs of 'logframes', budget codes and project cycles. Northern NGOs are acting as transmission belts for Western understandings of NGO characteristics and agency. This has resulted in a re-shaping and positioning of Cuban NGO identity, creating new dependencies and tensions in the process and introducing fashionable themes, such as gender. 'Gender mainstreaming' is an outsider-driven process, as donors and Northern NGOs have requested the integration of gender into projects. Their practices neither go beyond the 'incorporation of women in the workforce', nor engage sufficiently with the gendered realities of the everyday, as I show in my case-study in a cooperative. Farmers are performing, negotiating or at times resisting the dominant 'representations of space' - i.e. the state, regulations and policies, but also - increasingly - NGO discourses and agendas/frameworks. This thesis employs empirical data collected during 10-months of research in Cuba

    Recent Trends in Communication Networks

    Get PDF
    In recent years there has been many developments in communication technology. This has greatly enhanced the computing power of small handheld resource-constrained mobile devices. Different generations of communication technology have evolved. This had led to new research for communication of large volumes of data in different transmission media and the design of different communication protocols. Another direction of research concerns the secure and error-free communication between the sender and receiver despite the risk of the presence of an eavesdropper. For the communication requirement of a huge amount of multimedia streaming data, a lot of research has been carried out in the design of proper overlay networks. The book addresses new research techniques that have evolved to handle these challenges
    corecore