1,207 research outputs found

    High quality testing of grid style power gating

    No full text
    This paper shows that existing delay-based testing techniques for power gating exhibit fault coverage loss due to unconsidered delays introduced by the structure of the virtual voltage power-distribution-network (VPDN). To restore this loss, which could reach up to 70.3% on stuck-open faults, we propose a design-for-testability (DFT) logic that considers the impact of VPDN on fault coverage in order to constitute the proper interface between the VPDN and the DFT. The proposed logic can be easily implemented on-top of existing DFT solutions and its overhead is optimized by an algorithm that offers trade-off flexibility between test-application-time and hardware overhead. Through physical layout SPICE simulations, we show complete fault coverage recovery on stuck-open faults and 43.2% test-application-time improvement compared to a previously proposed DFT technique. To the best of our knowledge, this paper presents the first analysis of the VPDN impact on test qualit

    Development of an image converter of radical design

    Get PDF
    A long term investigation of thin film sensors, monolithic photo-field effect transistors, and epitaxially diffused phototransistors and photodiodes to meet requirements to produce acceptable all solid state, electronically scanned imaging system, led to the production of an advanced engineering model camera which employs a 200,000 element phototransistor array (organized in a matrix of 400 rows by 500 columns) to secure resolution comparable to commercial television. The full investigation is described for the period July 1962 through July 1972, and covers the following broad topics in detail: (1) sensor monoliths; (2) fabrication technology; (3) functional theory; (4) system methodology; and (5) deployment profile. A summary of the work and conclusions are given, along with extensive schematic diagrams of the final solid state imaging system product

    FPGA ARCHITECTURE AND VERIFICATION OF BUILT IN SELF-TEST (BIST) FOR 32-BIT ADDER/SUBTRACTER USING DE0-NANO FPGA AND ANALOG DISCOVERY 2 HARDWARE

    Get PDF
    The integrated circuit (IC) is an integral part of everyday modern technology, and its application is very attractive to hardware and software design engineers because of its versatility, integration, power consumption, cost, and board area reduction. IC is available in various types such as Field Programming Gate Array (FPGA), Application Specific Integrated Circuit (ASIC), System on Chip (SoC) architecture, Digital Signal Processing (DSP), microcontrollers (ÎŒC), and many more. With technology demand focused on faster, low power consumption, efficient IC application, design engineers are facing tremendous challenges in developing and testing integrated circuits that guaranty functionality, high fault coverage, and reliability as the transistor technology is shrinking to the point where manufacturing defects of ICs are affecting yield which associates with the increased cost of the part. The competitive IC market is pressuring manufactures of ICs to develop and market IC in a relatively quick turnaround which in return requires design and verification engineers to develop an integrated self-test structure that would ensure fault-free and the quality product is delivered on the market. 70-80% of IC design is spent on verification and testing to ensure high quality and reliability for the enduser. To test complex and sophisticated IC designs, the verification engineers must produce laborious and costly test fixtures which affect the cost of the part on the competitive market. To avoid increasing the part cost due to yield and test time to the end-user and to keep up with the competitive market many IC design engineers are deviating from complex external test fixture approach and are focusing on integrating Built-in Self-Test (BIST) or Design for Test (DFT) techniques onto IC’s which would reduce time to market but still guarantee high coverage for the product. Understanding the BIST, the architecture, as well as the application of IC, must be understood before developing IC. The architecture of FPGA is elaborated in this paper followed by several BIST techniques and applications of those BIST relative to FPGA, SoC, analog to digital (ADC), or digital to analog converters (DAC) that are integrated on IC. Paper is concluded with verification of BIST for the 32-bit adder/subtracter designed in Quartus II software using the Analog Discovery 2 module as stimulus and DE0-NANO FPGA board for verification

    Phase Locked Loop Test Methodology

    Get PDF
    Phase locked loops are incorporated into almost every large-scale mixed signal and digital system on chip (SOC). Various types of PLL architectures exist including fully analogue, fully digital, semi-digital, and software based. Currently the most commonly used PLL architecture for SOC environments and chipset applications is the Charge-Pump (CP) semi-digital type. This architecture is commonly used for clock synthesis applications, such as the supply of a high frequency on-chip clock, which is derived from a low frequency board level clock. In addition, CP-PLL architectures are now frequently used for demanding RF (Radio Frequency) synthesis, and data synchronization applications. On chip system blocks that rely on correct PLL operation may include third party IP cores, ADCs, DACs and user defined logic (UDL). Basically, any on-chip function that requires a stable clock will be reliant on correct PLL operation. As a direct consequence it is essential that the PLL function is reliably verified during both the design and debug phase and through production testing. This chapter focuses on test approaches related to embedded CP-PLLs used for the purpose of clock generation for SOC. However, methods discussed will generally apply to CP-PLLs used for other applications

    An Energy-efficient Capacitive-Memristive Content Addressable Memory

    Full text link
    Content addressable memory is popular in the field of intelligent computing systems with its searching nature. Emerging CAMs show a promising increase in pixel density and a decrease in power consumption than pure CMOS solutions. This article introduced an energy-efficient 3T1R1C TCAM cooperating with capacitor dividers and RRAM devices. The RRAM as a storage element also acts as a switch to the capacitor divider while searching for content. CAM cells benefit from working parallel in an array structure. We implemented a 64 x 64 array and digital controllers to perform with an internal built-in clock frequency of 875MHz. Both data searches and reads take 3x clock cycles. Its worst average energy for data match is reported to be 1.71 fJ/bit-search and the worst average energy for data miss is found with 4.69 fJ/bit-search. The prototype is simulated and fabricated in 0.18 um technology with in-lab RRAM post-processing. Such memory explores the charge domain searching mechanism and can be applied to data centers that are power-hungry.Comment: This work has been submitted to the IEEE TCAS-I for possible publication. Copyright may be transferred without notice, after which this version may no longer be accessibl

    Dynamic input match correction in R.F. low noise amplifiers

    Get PDF
    An R.F. circuit that recognizes its faults, and then corrects its performance in real-time has been the holy-grail of RFIC design. This work presents, for the first time, a complete architecture and successful implementation of such a circuit. It is the first step towards the grand vision of fault-free, package independent, integrated R.F. Front End circuitry. The performance of R.F. front-end circuitry can degrade significantly due to process faults and parasitic package inductances at its input. These inductances have wide tolerances and are difficult to co-design for. A novel methodology, which overcomes current obstacles plaguing such an objective, is proposed wherein the affected performance metric of the circuit is quantified, and the appropriate design parameter is modified in real-time, thus enabling self-correction. This proof of concept is demonstrated by designing a cascode LNA and the complete self-correction circuit in IBM 0.25 ”m CMOS RF process. The self-correction circuitry ascertains the input match frequency of the circuit by measuring its performance and determines the frequency interval by which it needs to be shifted to restore it to the desired value. It then feeds back a digital word to the LNA which adaptively corrects its input-match. It offers the additional flexibility of using different packages for the front-end since it renders the circuitry independent of package parasitics, by re-calibrating the input match on-the-fly. The circuitry presented in this work offers the advantages of low power, robustness, absence of DSP cores or processors, reduction in design cycle times, guaranteed optimal performance under varying conditions and fast correction times (less than 30 ”s)

    Ultra-Low Power Circuit Design for Cubic-Millimeter Wireless Sensor Platform.

    Full text link
    Modern daily life is surrounded by smaller and smaller computing devices. As Bell’s Law predicts, the research community is now looking at tiny computing platforms and mm3-scale sensor systems are drawing an increasing amount of attention since they can create a whole new computing environment. Designing mm3-scale sensor nodes raises various circuit and system level challenges and we have addressed and proposed novel solutions for many of these challenges to create the first complete 1.0mm3 sensor system including a commercial microprocessor. We demonstrate a 1.0mm3 form factor sensor whose modular die-stacked structure allows maximum volume utilization. Low power I2C communication enables inter-layer serial communication without losing compatibility to standard I2C communication protocol. A dual microprocessor enables concurrent computation for the sensor node control and measurement data processing. A multi-modal power management unit allowed energy harvesting from various harvesting sources. An optical communication scheme is provided for initial programming, synchronization and re-programming after recovery from battery discharge. Standby power reduction techniques are investigated and a super cut-off power gating scheme with an ultra-low power charge pump reduces the standby power of logic circuits by 2-19× and memory by 30%. Different approaches for designing low-power memory for mm3-scale sensor nodes are also presented in this work. A dual threshold voltage gain cell eDRAM design achieves the lowest eDRAM retention power and a 7T SRAM design based on hetero-junction tunneling transistors reduces the standby power of SRAM by 9-19× with only 15% area overhead. We have paid special attention to the timer for the mm3-scale sensor systems and propose a multi-stage gate-leakage-based timer to limit the standard deviation of the error in hourly measurement to 196ms and a temperature compensation scheme reduces temperature dependency to 31ppm/°C. These techniques for designing ultra-low power circuits for a mm3-scale sensor enable implementation of a 1.0mm3 sensor node, which can be used as a skeleton for future micro-sensor systems in variety of applications. These microsystems imply the continuation of the Bell’s Law, which also predicts the massive deployment of mm3-scale computing systems and emergence of even smaller and more powerful computing systems in the near future.Ph.D.Electrical EngineeringUniversity of Michigan, Horace H. Rackham School of Graduate Studieshttp://deepblue.lib.umich.edu/bitstream/2027.42/91438/1/sori_1.pd

    CMOS VLSI circuits for imaging

    Get PDF

    Implementation of neural networks as CMOS integrated circuits

    Get PDF

    A quaternary current mode bus driver and receiver circuits.

    Get PDF
    Cheung, Cheuk Kit.Thesis (M.Phil.)--Chinese University of Hong Kong, 2009.Includes bibliographical references.Abstract also in Chinese.Abstract --- p.1摘芁 --- p.2Acknowledgements --- p.3Table of Contents --- p.4List of Figures --- p.9Chapter 1. --- Introduction --- p.12Chapter 1.1. --- Research Motivation --- p.12Chapter 1.1.1. --- Global and Intermediate Interconnects --- p.12Chapter 1.1.2. --- Constraints of Repeater Insertion Techniques --- p.13Chapter 1.2. --- Research Objective --- p.13Chapter 1.3. --- Reference --- p.14Chapter 2. --- Voltage Mode and Current Mode Circuits --- p.16Chapter 2.1. --- Introduction --- p.16Chapter 2.2. --- Voltage Mode Circuit --- p.16Chapter 2.3. --- Current Mode Circuit --- p.18Chapter 2.4. --- Power Consumption --- p.19Chapter 2.5. --- Latency --- p.20Chapter 2.6. --- Summary --- p.20Chapter 3. --- Transmitter Design --- p.22Chapter 3.1. --- Introduction --- p.22Chapter 3.2. --- Multi-level Signaling --- p.22Chapter 3.3. --- Gated Current Mirror --- p.23Chapter 3.4. --- Power Consumption --- p.24Chapter 3.5. --- Summary --- p.24Chapter 3.6. --- Reference --- p.25Chapter 4. --- Receiver Design --- p.26Chapter 4.1. --- Introduction --- p.26Chapter 4.2. --- Conventional Latched-typed Sense Amplifier --- p.27Chapter 4.3. --- Sense Amplifier with Isolated Differential Pair --- p.29Chapter 4.4. --- "Power Consumption, Latency and Kick-back Noise Comparison between Different Designs" --- p.30Chapter 4.4.1. --- Comparison on Power Consumption --- p.30Chapter 4.4.2. --- Comparison on Latency --- p.31Chapter 4.4.3. --- Comparison on Kick-back Noise --- p.33Chapter 4.5. --- Summary --- p.34Chapter 4.6. --- Reference --- p.34Chapter 5. --- Inverter Chain --- p.36Chapter 5.1. --- Introduction --- p.36Chapter 5.2. --- Inverter Chain Based --- p.36Chapter 5.3. --- Summary --- p.38Chapter 5.4. --- References --- p.38Chapter 6. --- Layout Techniques --- p.39Chapter 6.1. --- Introduction --- p.39Chapter 6.2. --- Two-Dimensional Common Centroid Layout Technique --- p.39Chapter 6.3. --- Dummy Devices --- p.40Chapter 6.4. --- Summary --- p.42Chapter 6.5. --- References --- p.42Chapter 7. --- Simulation Results --- p.43Chapter 7.1. --- Introduction --- p.43Chapter 7.2. --- Simulation of Different Aspect Ratios of Differential Pair --- p.43Chapter 7.3. --- System Level Simulation with Different Sense-amplifiers --- p.46Chapter 7.4. --- System Level Simulation at Different Data Rate --- p.47Chapter 7.5. --- Summary --- p.49Chapter 8. --- Measurement Results --- p.50Chapter 8.1. --- Introduction --- p.50Chapter 8.2. --- Experimental Setup --- p.50Chapter 8.2.1. --- Testing Chips --- p.50Chapter 8.2.2. --- Equipments Setup --- p.52Chapter 8.3. --- Measurement Results --- p.53Chapter 8.4. --- Summary --- p.56Chapter 9. --- Conclusion --- p.57Chapter 9.1. --- AuthorÂŽŰ©s Contributions --- p.57Chapter 9.2. --- Future Works --- p.58Chapter 10. --- Appendix --- p.5
    • 

    corecore