85 research outputs found

    ๋ฐ์ดํ„ฐ ์ „์†ก๋กœ ํ™•์žฅ์„ฑ๊ณผ ๋ฃจํ”„ ์„ ํ˜•์„ฑ์„ ํ–ฅ์ƒ์‹œํ‚จ ๋‹ค์ค‘์ฑ„๋„ ์ˆ˜์‹ ๊ธฐ๋“ค์— ๊ด€ํ•œ ์—ฐ๊ตฌ

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ (๋ฐ•์‚ฌ)-- ์„œ์šธ๋Œ€ํ•™๊ต ๋Œ€ํ•™์› : ์ „๊ธฐยท์ปดํ“จํ„ฐ๊ณตํ•™๋ถ€, 2013. 2. ์ •๋•๊ท .Two types of serial data communication receivers that adopt a multichannel architecture for a high aggregate I/O bandwidth are presented. Two techniques for collaboration and sharing among channels are proposed to enhance the loop-linearity and channel-expandability of multichannel receivers, respectively. The first proposed receiver employs a collaborative timing scheme recovery which relies on the sharing of all outputs of phase detectors (PDs) among channels to extract common information about the timing and multilevel signaling architecture of PAM-4. The shared timing information is processed by a common global loop filter and is used to update the phase of the voltage-controlled oscillator with better rejection of per-channel noise. In addition to collaborative timing recovery, a simple linearization technique for binary PDs is proposed. The technique realizes a high-rate oversampling PD while the hardware cost is equivalent to that of a conventional 2x-oversampling clock and data recovery. The first receiver exploiting the collaborative timing recovery architecture is designed using 45-nm CMOS technology. A single data lane occupies a 0.195-mm2 area and consumes a relatively low 17.9 mW at 6 Gb/s at 1.0V. Therefore, the power efficiency is 2.98 mW/Gb/s. The simulated jitter is about 0.034 UI RMS given an input jitter value of 0.03 UI RMS, while the relatively constant loop bandwidth with the PD linearization technique is about 7.3-MHz regardless of the data-stream noise. Unlike the first receiver, the second proposed multichannel receiver was designed to reduce the hardware complexity of each lane. The receiver employs shared calibration logic among channels and yet achieves superior channel expandability with slim data lanes. A shared global calibration control, which is used in a forwarded clock receiver based on a multiphase delay-locked loop, accomplishes skew calibration, equalizer adaptation, and the phase lock of all channels during a calibration period, resulting in reduced hardware overhead and less area required by each data lane. The second forwarded clock receiver is designed in 90-nm CMOS technology. It achieves error-free eye openings of more than 0.5 UI across 9โˆ’ 28 inch Nelco 4000-6 microstrips at 4โˆ’ 7 Gb/s and more than 0.42 UI at data rates of up to 9 Gb/s. The data lane occupies only 0.152 mm2 and consumes 69.8 mW, while the rest of the receiver occupies 0.297 mm2 and consumes 56 mW at a data rate of 7 Gb/s and a supply voltage of 1.35 V.1. Introduction 1 1.1 Motivations 1.2 Thesis Organization 2. Previous Receivers for Serial-Data Communications 2.1 Classification of the Links 2.2 Clocking architecture of transceivers 2.3 Components of receiver 2.3.1 Channel loss 2.3.2 Equalizer 2.3.3 Clock and data recovery circuit 2.3.3.1. Basic architecture 2.3.3.2. Phase detector 2.3.3.2.1. Linear phase detector 2.3.3.2.2. Binary phase detector 2.3.3.3. Frequency detector 2.3.3.4. Charge pump 2.3.3.5. Voltage controlled oscillator and delay-line 2.3.4 Loop dynamics of PLL 2.3.5 Loop dynamics of DLL 3. The Proposed PLL-Based Receiver with Loop Linearization Technique 3.1 Introduction 3.2 Motivation 3.3 Overview of binary phase detection 3.4 The proposed BBPD linearization technique 3.4.1 Architecture of the proposed PLL-based receiver 3.4.2 Linearization technique of binary phase detection 3.4.3 Rotational pattern of sampling phase offset 3.5 PD gain analysis and optimization 3.6 Loop Dynamics of the 2nd-order CDR 3.7 Verification with the time-accurate behavioral simulation 3.8 Summary 4. The Proposed DLL-Based Receiver with Forwarded-Clock 4.1 Introduction 4.2 Motivation 4.3 Design consideration 4.4 Architecture of the proposed forwarded-clock receiver 4.5 Circuit description 4.5.1 Analog multi-phase DLL 4.5.2 Dual-input interpolating deley cells 4.5.3 Dedicated half-rate data samplers 4.5.4 Cherry-Hooper continuous-time linear equalizer 4.5.5 Equalizer adaptation and phase-lock scheme 4.6 Measurement results 5. Conclusion 6. BibliographyDocto

    ๊ณ ์† DRAM ์ธํ„ฐํŽ˜์ด์Šค๋ฅผ ์œ„ํ•œ ์ „์•• ๋ฐ ์˜จ๋„์— ๋‘”๊ฐํ•œ ํด๋ก ํŒจ์Šค์™€ ์œ„์ƒ ์˜ค๋ฅ˜ ๊ต์ •๊ธฐ ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ (๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต ๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2021. 2. ์ •๋•๊ท .To cope with problems caused by the high-speed operation of the dynamic random access memory (DRAM) interface, several approaches are proposed that are focused on the clock path of the DRAM. Two delay-locked loop (DLL) based schemes, a forwarded-clock (FC) receiver (RX) with self-tracking loop and a quadrature error corrector, are proposed. Moreover, an open-loop based scheme is presented for drift compensation in the clock distribution. The open-loop scheme consumes less power consumption and reduces design complexity. The FC RX uses DLLs to compensate for voltage and temperature (VT) drift in unmatched memory interfaces. The self-tracking loop consists of two-stage cascaded DLLs to operate in a DRAM environment. With the write training and the proposed DLL, the timing relationship between the data and the sampling clock is always optimal. The proposed scheme compensates for delay drift without relying on data transitions or re-training. The proposed FC RX is fabricated in 65-nm CMOS process and has an active area containing 4 data lanes of 0.0329 mm2. After the write training is completed at the supply voltage of 1 V, the measured timing margin remains larger than 0.31-unit interval (UI) when the supply voltage drifts in the range of 0.94 V and 1.06 V from the training voltage, 1 V. At the data rate of 6.4 Gb/s, the proposed FC RX achieves an energy efficiency of 0.45 pJ/bit. Contrary to the aforementioned scheme, an open-loop-based voltage drift compensation method is proposed to minimize power consumption and occupied area. The overall clock distribution is composed of a current mode logic (CML) path and a CMOS path. In the proposed scheme, the architecture of the CML-to-CMOS converter (C2C) and the inverter is changed to compensate for supply voltage drift. The bias generator provides bias voltages to the C2C and inverters according to supply voltage for delay adjustment. The proposed clock tree is fabricated in 40 nm CMOS process and the active area is 0.004 mm2. When the supply voltage is modulated by a sinusoidal wave with 1 MHz, 100 mV peak-to-peak swing from the center of 1.1 V, applying the proposed scheme reduces the measured root-mean-square (RMS) jitter from 3.77 psRMS to 1.61 psRMS. At 6 GHz output clock, the power consumption of the proposed scheme is 11.02 mW. A DLL-based quadrature error corrector (QEC) with a wide correction range is proposed for the DRAM whose clocks are distributed over several millimeters. The quadrature error is corrected by adjusting delay lines using information from the phase error detector. The proposed error correction method minimizes increased jitter due to phase error correction by setting at least one of the delay lines in the quadrature clock path to the minimum delay. In addition, the asynchronous calibration on-off scheme reduces power consumption after calibration is complete. The proposed QEC is fabricated in 40 nm CMOS process and has an active area of 0.048 mm2. The proposed QEC exhibits a wide correctable error range of 101.6 ps and the remaining phase errors are less than 2.18ยฐ from 0.8 GHz to 2.3 GHz clock. At 2.3 GHz, the QEC contributes 0.53 psRMS jitter. Also, at 2.3 GHz, the power consumption is reduced from 8.89 mW to 3.39 mW when the calibration is off.๋ณธ ๋…ผ๋ฌธ์—์„œ๋Š” ๋™์  ๋žœ๋ค ์•ก์„ธ์Šค ๋ฉ”๋ชจ๋ฆฌ (DRAM)์˜ ์†๋„๊ฐ€ ์ฆ๊ฐ€ํ•จ์— ๋”ฐ๋ผ ํด๋ก ํŒจ์Šค์—์„œ ๋ฐœ์ƒํ•  ์ˆ˜ ์žˆ๋Š” ๋ฌธ์ œ์— ๋Œ€์ฒ˜ํ•˜๊ธฐ ์œ„ํ•œ ์„ธ ๊ฐ€์ง€ ํšŒ๋กœ๋“ค์„ ์ œ์•ˆํ•˜์˜€๋‹ค. ์ œ์•ˆํ•œ ํšŒ๋กœ๋“ค ์ค‘ ๋‘ ๋ฐฉ์‹๋“ค์€ ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„ (delay-locked loop) ๋ฐฉ์‹์„ ์‚ฌ์šฉํ•˜์˜€๊ณ  ๋‚˜๋จธ์ง€ ํ•œ ๋ฐฉ์‹์€ ๋ฉด์ ๊ณผ ์ „๋ ฅ ์†Œ๋ชจ๋ฅผ ์ค„์ด๊ธฐ ์œ„ํ•ด ์˜คํ”ˆ ๋ฃจํ”„ ๋ฐฉ์‹์„ ์‚ฌ์šฉํ•˜์˜€๋‹ค. DRAM์˜ ๋น„์ •ํ•ฉ ์ˆ˜์‹ ๊ธฐ ๊ตฌ์กฐ์—์„œ ๋ฐ์ดํ„ฐ ํŒจ์Šค์™€ ํด๋ก ํŒจ์Šค ๊ฐ„์˜ ์ง€์—ฐ ๋ถˆ์ผ์น˜๋กœ ์ธํ•ด ์ „์•• ๋ฐ ์˜จ๋„ ๋ณ€ํ™”์— ๋”ฐ๋ผ ์…‹์—… ํƒ€์ž„ ๋ฐ ํ™€๋“œ ํƒ€์ž„์ด ์ค„์–ด๋“œ๋Š” ๋ฌธ์ œ๋ฅผ ํ•ด๊ฒฐํ•˜๊ธฐ ์œ„ํ•ด ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„๋ฅผ ์‚ฌ์šฉํ•˜์˜€๋‹ค. ์ œ์•ˆํ•œ ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„ ํšŒ๋กœ๋Š” DRAM ํ™˜๊ฒฝ์—์„œ ๋™์ž‘ํ•˜๋„๋ก ๋‘ ๊ฐœ์˜ ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„๋กœ ๋‚˜๋ˆ„์—ˆ๋‹ค. ๋˜ํ•œ ์ดˆ๊ธฐ ์“ฐ๊ธฐ ํ›ˆ๋ จ์„ ํ†ตํ•ด ๋ฐ์ดํ„ฐ์™€ ํด๋ก์„ ํƒ€์ด๋ฐ ๋งˆ์ง„ ๊ด€์ ์—์„œ ์ตœ์ ์˜ ์œ„์น˜์— ๋‘˜ ์ˆ˜ ์žˆ๋‹ค. ๋”ฐ๋ผ์„œ ์ œ์•ˆํ•˜๋Š” ๋ฐฉ์‹์€ ๋ฐ์ดํ„ฐ ์ฒœ์ด ์ •๋ณด๊ฐ€ ํ•„์š”ํ•˜์ง€ ์•Š๋‹ค. 65-nm CMOS ๊ณต์ •์„ ์ด์šฉํ•˜์—ฌ ๋งŒ๋“ค์–ด์ง„ ์นฉ์€ 6.4 Gb/s์—์„œ 0.45 pJ/bit์˜ ์—๋„ˆ์ง€ ํšจ์œจ์„ ๊ฐ€์ง„๋‹ค. ๋˜ํ•œ 1 V์—์„œ ์“ฐ๊ธฐ ํ›ˆ๋ จ ๋ฐ ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„๋ฅผ ๊ณ ์ •์‹œํ‚ค๊ณ  0.94 V์—์„œ 1.06 V๊นŒ์ง€ ๊ณต๊ธ‰ ์ „์••์ด ๋ฐ”๋€Œ์—ˆ์„ ๋•Œ ํƒ€์ด๋ฐ ๋งˆ์ง„์€ 0.31 UI๋ณด๋‹ค ํฐ ๊ฐ’์„ ์œ ์ง€ํ•˜์˜€๋‹ค. ๋‹ค์Œ์œผ๋กœ ์ œ์•ˆํ•˜๋Š” ํšŒ๋กœ๋Š” ํด๋ก ๋ถ„ํฌ ํŠธ๋ฆฌ์—์„œ ์ „์•• ๋ณ€ํ™”๋กœ ์ธํ•ด ํด๋ก ํŒจ์Šค์˜ ์ง€์—ฐ์ด ๋‹ฌ๋ผ์ง€๋Š” ๊ฒƒ์„ ์•ž์„œ ์ œ์‹œํ•œ ๋ฐฉ์‹๊ณผ ๋‹ฌ๋ฆฌ ์˜คํ”ˆ ๋ฃจํ”„ ๋ฐฉ์‹์œผ๋กœ ๋ณด์ƒํ•˜์˜€๋‹ค. ๊ธฐ์กด ํด๋ก ํŒจ์Šค์˜ ์ธ๋ฒ„ํ„ฐ์™€ CML-to-CMOS ๋ณ€ํ™˜๊ธฐ์˜ ๊ตฌ์กฐ๋ฅผ ๋ณ€๊ฒฝํ•˜์—ฌ ๋ฐ”์ด์–ด์Šค ์ƒ์„ฑ ํšŒ๋กœ์—์„œ ์ƒ์„ฑํ•œ ๊ณต๊ธ‰ ์ „์••์— ๋”ฐ๋ผ ๋ฐ”๋€Œ๋Š” ๋ฐ”์ด์–ด์Šค ์ „์••์„ ๊ฐ€์ง€๊ณ  ์ง€์—ฐ์„ ์กฐ์ ˆํ•  ์ˆ˜ ์žˆ๊ฒŒ ํ•˜์˜€๋‹ค. 40-nm CMOS ๊ณต์ •์„ ์ด์šฉํ•˜์—ฌ ๋งŒ๋“ค์–ด์ง„ ์นฉ์˜ 6 GHz ํด๋ก์—์„œ์˜ ์ „๋ ฅ ์†Œ๋ชจ๋Š” 11.02 mW๋กœ ์ธก์ •๋˜์—ˆ๋‹ค. 1.1 V ์ค‘์‹ฌ์œผ๋กœ 1 MHz, 100 mV ํ”ผํฌ ํˆฌ ํ”ผํฌ๋ฅผ ๊ฐ€์ง€๋Š” ์‚ฌ์ธํŒŒ ์„ฑ๋ถ„์œผ๋กœ ๊ณต๊ธ‰ ์ „์••์„ ๋ณ€์กฐํ•˜์˜€์„ ๋•Œ ์ œ์•ˆํ•œ ๋ฐฉ์‹์—์„œ์˜ ์ง€ํ„ฐ๋Š” ๊ธฐ์กด ๋ฐฉ์‹์˜ 3.77 psRMS์—์„œ 1.61 psRMS๋กœ ์ค„์–ด๋“ค์—ˆ๋‹ค. DRAM์˜ ์†ก์‹ ๊ธฐ ๊ตฌ์กฐ์—์„œ ๋‹ค์ค‘ ์œ„์ƒ ํด๋ก ๊ฐ„์˜ ์œ„์ƒ ์˜ค์ฐจ๋Š” ์†ก์‹ ๋œ ๋ฐ์ดํ„ฐ์˜ ๋ฐ์ดํ„ฐ ์œ ํšจ ์ฐฝ์„ ๊ฐ์†Œ์‹œํ‚จ๋‹ค. ์ด๋ฅผ ํ•ด๊ฒฐํ•˜๊ธฐ ์œ„ํ•ด ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„๋ฅผ ๋„์ž…ํ•˜๊ฒŒ ๋˜๋ฉด ์ฆ๊ฐ€๋œ ์ง€์—ฐ์œผ๋กœ ์ธํ•ด ์œ„์ƒ์ด ๊ต์ •๋œ ํด๋ก์—์„œ ์ง€ํ„ฐ๊ฐ€ ์ฆ๊ฐ€ํ•œ๋‹ค. ๋ณธ ๋…ผ๋ฌธ์—์„œ๋Š” ์ฆ๊ฐ€๋œ ์ง€ํ„ฐ๋ฅผ ์ตœ์†Œํ™”ํ•˜๊ธฐ ์œ„ํ•ด ์œ„์ƒ ๊ต์ •์œผ๋กœ ์ธํ•ด ์ฆ๊ฐ€๋œ ์ง€์—ฐ์„ ์ตœ์†Œํ™”ํ•˜๋Š” ์œ„์ƒ ๊ต์ • ํšŒ๋กœ๋ฅผ ์ œ์‹œํ•˜์˜€๋‹ค. ๋˜ํ•œ ์œ ํœด ์ƒํƒœ์—์„œ ์ „๋ ฅ ์†Œ๋ชจ๋ฅผ ์ค„์ด๊ธฐ ์œ„ํ•ด ์œ„์ƒ ์˜ค์ฐจ๋ฅผ ๊ต์ •ํ•˜๋Š” ํšŒ๋กœ๋ฅผ ์ž…๋ ฅ ํด๋ก๊ณผ ๋น„๋™๊ธฐ์‹์œผ๋กœ ๋Œ ์ˆ˜ ์žˆ๋Š” ๋ฐฉ๋ฒ• ๋˜ํ•œ ์ œ์•ˆํ•˜์˜€๋‹ค. 40-nm CMOS ๊ณต์ •์„ ์ด์šฉํ•˜์—ฌ ๋งŒ๋“ค์–ด์ง„ ์นฉ์˜ ์œ„์ƒ ๊ต์ • ๋ฒ”์œ„๋Š” 101.6 ps์ด๊ณ  0.8 GHz ๋ถ€ํ„ฐ 2.3 GHz๊นŒ์ง€์˜ ๋™์ž‘ ์ฃผํŒŒ์ˆ˜ ๋ฒ”์œ„์—์„œ ์œ„์ƒ ๊ต์ •๊ธฐ์˜ ์ถœ๋ ฅ ํด๋ก์˜ ์œ„์ƒ ์˜ค์ฐจ๋Š” 2.18ยฐ๋ณด๋‹ค ์ž‘๋‹ค. ์ œ์•ˆํ•˜๋Š” ์œ„์ƒ ๊ต์ • ํšŒ๋กœ๋กœ ์ธํ•ด ์ถ”๊ฐ€๋œ ์ง€ํ„ฐ๋Š” 2.3 GHz์—์„œ 0.53 psRMS์ด๊ณ  ๊ต์ • ํšŒ๋กœ๋ฅผ ๊ป์„ ๋•Œ ์ „๋ ฅ ์†Œ๋ชจ๋Š” ๊ต์ • ํšŒ๋กœ๊ฐ€ ์ผœ์กŒ์„ ๋•Œ์ธ 8.89 mW์—์„œ 3.39 mW๋กœ ์ค„์–ด๋“ค์—ˆ๋‹ค.Chapter 1 Introduction 1 1.1 Motivation 1 1.2 Thesis Organization 4 Chapter 2 Background on DRAM Interface 5 2.1 Overview 5 2.2 Memory Interface 7 Chapter 3 Background on DLL 11 3.1 Overview 11 3.2 Building Blocks 15 3.2.1 Delay Line 15 3.2.2 Phase Detector 17 3.2.3 Charge Pump 19 3.2.4 Loop filter 20 Chapter 4 Forwarded-Clock Receiver with DLL-based Self-tracking Loop for Unmatched Memory Interfaces 21 4.1 Overview 21 4.2 Proposed Separated DLL 25 4.2.1 Operation of the Proposed Separated DLL 27 4.2.2 Operation of the Digital Loop Filter in DLL 31 4.3 Circuit Implementation 33 4.4 Measurement Results 37 4.4.1 Measurement Setup and Sequence 38 4.4.2 VT Drift Measurement and Simulation 40 Chapter 5 Open-loop-based Voltage Drift Compensation in Clock Distribution 46 5.1 Overview 46 5.2 Prior Works 50 5.3 Voltage Drift Compensation Method 52 5.4 Circuit Implementation 57 5.5 Measurement Results 61 Chapter 6 Quadrature Error Corrector with Minimum Total Delay Tracking 68 6.1 Overview 68 6.2 Prior Works 70 6.3 Quadrature Error Correction Method 73 6.4 Circuit Implementation 82 6.5 Measurement Results 88 Chapter 7 Conclusion 96 Bibliography 98 ์ดˆ๋ก 102Docto

    The Design of Low Power Ultra-Wideband Transceiver

    Get PDF
    Ph.DDOCTOR OF PHILOSOPH

    Low-Power High-Data-Rate Transmitter Design for Biomedical Application

    Get PDF
    Ph.DDOCTOR OF PHILOSOPH

    Precise Timing of Digital Signals: Circuits and Applications

    Get PDF
    With the rapid advances in process technologies, the performance of state-of-the-art integrated circuits is improving steadily. The drive for higher performance is accompanied with increased emphasis on meeting timing constraints not only at the design phase but during device operation as well. Fortunately, technology advancements allow for even more precise control of the timing of digital signals, an advantage which can be used to provide solutions that can address some of the emerging timing issues. In this thesis, circuit and architectural techniques for the precise timing of digital signals are explored. These techniques are demonstrated in applications addressing timing issues in modern digital systems. A methodology for slow-speed timing characterization of high-speed pipelined datapaths is proposed. The technique uses a clock-timing circuit to create shifted versions of a slow-speed clock. These clocks control the data flow in the pipeline in the test mode. Test results show that the design provides an average timing resolution of 52.9ps in 0.18ฮผm CMOS technology. Results also demonstrate the ability of the technique to track the performance of high-speed pipelines at a reduced clock frequency and to test the clock-timing circuit itself. In order to achieve higher resolutions than that of an inverter/buffer stage, a differential (vernier) delay line is commonly used. To allow for the design of differential delay lines with programmable delays, a digitally-controlled delay-element is proposed. The delay element is monotonic and achieves a high degree of transfer characteristics' (digital code vs. delay) linearity. Using the proposed delay element, a sub-1ps resolution is demonstrated experimentally in 0.18ฮผm CMOS. The proposed delay element with a fixed delay step of 2ps is used to design a high-precision all-digital phase aligner. High-precision phase alignment has many applications in modern digital systems such as high-speed memory controllers, clock-deskew buffers, and delay and phase-locked loops. The design is based on a differential delay line and a variation tolerant phase detector using redundancy. Experimental results show that the phase aligner's range is from -264ps to +247ps which corresponds to an average delay step of approximately 2.43ps. For various input phase difference values, test results show that the difference is reduced to less than 2ps at the output of the phase aligner. On-chip time measurement is another application that requires precise timing. It has applications in modern automatic test equipment and on-chip characterization of jitter and skew. In order to achieve small conversion time, a flash time-to-digital converter is proposed. Mismatch between the various delay comparators limits the time measurement precision. This is demonstrated through an experiment in which a 6-bit, 2.5ps resolution flash time-to-digital converter provides an effective resolution of only 4-bits. The converter achieves a maximum conversion rate of 1.25GSa/s

    Design and validation of key components for the readout electronics of future PET scanners

    Get PDF
    This thesis work discusses the design and validation of two circuit components used in the electronic readout of positron emission tomography (PET) scanners for biomedical applications: a constant fraction discriminator (CFD) and an integrated CMOS time to digital converter (TDC). The former is used in the read out of a double-head PET scanner already developed by the group of medical physics at INFN Pisa for non-invasive dose delivery monitoring in hadrontherapy. The goal of the work has been the optimization of the front-end PCB in terms of timing performances so as to reduce the dead time and resolution at system level. A new CFD board has been implemented and experimental results have shown a significant enhancement of the timing characteristics which have enabled performing in-beam PET data acquisition which is fundamental in hadrontherapy treatment. The design of an integrated CMOS TDC to be used for the time of flight measurement in a magnetic field-compatible PET block detector is the second topic of the thesis. The required time resolutions, linear behaviour as well as the communication with other readout elements have been taken into account in the definition of the circuit topology. Cadence and Verilog simulations have shown that a bin size of 100 ps can be obtained with the combination of a submicron technology (UMC 65 nm LLLVT) and a pipeline approach where a 10 bit systolic counter coupled to a 4 stage delay locked loop (DLL) are exploited. This translates into a nominal resolution of 29 ps. In addition, the use of a short DLL leads to a high linearity which is an issue in PET measurements. Despite lower resolutions are obtained in literature with different TDC topologies, achieving good performances in terms of both time resolution and linearity is not straightforward. The converter also features a real-time validation algorithm which is capable to reject noise inputs generated by the photodetector without impairing the acquisition capability of the system. A standard-cell unit has been also designed which is in charge of data buffering and serial communication with external readout boards. A 47 bit output word is provided by the semi-custom stage at a measurement rate which is selectable between 31.25 MHz and 62.5 MHz with a double hit resolution of 170 ns. An 8 channel prototype of 1.875 x 1.875 mm2 has been submitted in March 2013 in order to validate simulated data with experimental results

    Digital Pulse Width Modulator Techniques For Dc - Dc Converters

    Get PDF
    Recent research activities focused on improving the steady-state as well as the dynamic behavior of DC-DC converters for proper system performance, by proposing different design methods and control approaches with growing tendency to using digital implementation over analog practices. Because of the rapid advancement in semiconductors and microprocessor industry, digital control grew in popularity among PWM converters and is taking over analog techniques due to availability of fast speed microprocessors, flexibility and immunity to noise and environmental variations. Furthermore, increased interest in Field Programmable Gate Arrays (FPGA) makes it a convenient design platform for digitally controlled converters. The objective of this research is to propose new digital control schemes, aiming to improve the steady-state and transient responses of a high switching frequency FPGA-based digitally controlled DC-DC converters. The target is to achieve enhanced performance in terms of tight regulation with minimum power consumption and high efficiency at steady-state, as well as shorter settling time with optimal over- and undershoots during transients. The main task is to develop new and innovative digital PWM techniques in order to achieve: 1. Tight regulation at steady-state: by proposing high resolution DPWM architecture, based on Digital Clock Management (DCM) resources available on FPGA boards. The proposed architecture Window-Masked Segmented Digital Clock Manager-FPGA based Digital Pulse Width Modulator Technique, is designed to achieve high resolution operating at high switching frequencies with minimum power consumption. 2. Enhanced dynamic response: by applying a shift to the basic saw-tooth DPWM signal, in order to benefit from the best linearity and simplest architecture offered by the conventional counter-comparator DPWM. This proposed control scheme will help the compensator reach the steady-state value faster. Dynamically Shifted Ramp Digital Control Technique for Improved Transient Response in DC-DC Converters, is projected to enhance the transient response by dynamically controlling the ramp signal of the DPWM unit

    Time-based circuits for communication systems in advanced CMOS technology

    Get PDF
    Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2009.This electronic version was submitted by the student author. The certified thesis is available in the Institute Archives and Special Collections.Cataloged from student submitted PDF version of thesis.Includes bibliographical references (p. 145-151).As device size scales down, there have been challenges to design conventional analog circuits, such as low voltage headroom and the low intrinsic gain of a device. Although ever-decreasing device channel length in CMOS technology has mainly negative effects on analog circuits, it increases device speed and reduces the power consumption of digital circuits. As a result, time-based signal processing has been attracting attention because time-based circuits take advantage of high speed and low power devices to deal with analog information in the time domain. In this thesis, we focus on a ring oscillator as a core time-based circuit for communication systems. Ring oscillators are employed in analog-to-time conversion or time-to-digital conversion. In this work, we present A/D converters and an RF modulator based on ring oscillators in deep sub-micron CMOS processes. We introduce a VCO-based [sigma][delta] A/D converter utilizing a voltage-controlled ring oscillator (ring VCO) as a continuous-time integrator. We propose to replace conventional integrators designed with analog circuits in a [sigma][delta] modulator with a ring VCO and a phase detector, thereby implementing an A/D converter without traditional analog circuits. We also propose a single-slope A/D converter using time-to-digital conversion. By combining a few analog circuits and a ring oscillator based Time-to-Digital Converter (TDC), we achieve highly digital A/D conversion. Finally, we demonstrate a VCO-based RF modulator. The proposed RF modulator generates an RF signal by simply switching transistors. As opposed to an RFDAC approach, the proposed RF modulator is not limited by quantization noise because it employs multiphase PWM signals. A VCO-based OP amp is also introduced as an alternative method of designing an OP amp in deep sub-micron CMOS. The proposed VCO-based OP amp is utilized to generate the multiphase PWM signals in the RF modulator. This thesis also presents the fundamental limitations of a ring oscillator as a timebased circuit. Although the idea of time-based signal processing employing a ring oscillator has its own limitations such as non-linear tuning characteristics and phase noise, the basic idea is worth investigating to solve the serious problems of analog circuits for future CMOS technology.by Min Park.Ph.D
    • โ€ฆ
    corecore