1,798 research outputs found

    Study of non-interactive computer methods for microcircuit layout

    Get PDF

    Verification of electronic designs by reconstruction of the hierarchy

    Get PDF

    Algorithmic techniques for physical design : macro placement and under-the-cell routing

    Get PDF
    With the increase of chip component density and new manufacturability constraints imposed by modern technology nodes, the role of algorithms for electronic design automation is key to the successful implementation of integrated circuits. Two of the critical steps in the physical design flows are macro placement and ensuring all design rules are honored after timing closure. This thesis proposes contributions to help in these stages, easing time-consuming manual steps and helping physical design engineers to obtain better layouts in reduced turnaround time. The first contribution is under-the-cell routing, a proposal to systematically connect standard cell components via lateral pins in the lower metal layers. The aim is to reduce congestion in the upper metal layers caused by extra metal and vias, decreasing the number of design rule violations. To allow cells to connect by abutment, a standard cell library is enriched with instances containing lateral pins in a pre-selected sharing track. Algorithms are proposed to maximize the numbers of connections via lateral connection by mapping placed cell instances to layouts with lateral pins, and proposing local placement modifications to increase the opportunities for such connections. Experimental results show a significant decrease in the number of pins, vias, and in number of design rule violations, with negligible impact on wirelength and timing. The second contribution, done in collaboration with eSilicon (a leading ASIC design company), is the creation of HiDaP, a macro placement tool for modern industrial designs. The proposed approach follows a multilevel scheme to floorplan hierarchical blocks, composed of macros and standard cells. By exploiting RTL information available in the netlist, the dataflow affinity between these blocks is modeled and minimized to find a macro placement with good wirelength and timing properties. The approach is further extended to allow additional engineer input, such as preferred macro locations, and also spectral and force methods to guide the floorplanning search. Experimental results show that the layouts generated by HiDaP outperforms those obtained by a state-of-the-art EDA physical design software, with similar wirelength and better timing when compared to manually designed tape-out ready macro placements. Layouts obtained by HiDaP have successfully been brought to near timing closure with one to two rounds of small modifications by physical design engineers. HiDaP has been fully integrated in the design flows of the company and its development remains an ongoing effort.A causa de l'increment de la densitat de components en els xip i les noves restriccions de disseny imposades pels Ășltims nodes de fabricaciĂł, el rol de l'algorĂ­smia en l'automatitzaciĂł del disseny electrĂČnic ha esdevingut clau per poder implementar circuits integrats. Dos dels passos crucials en el procĂ©s de disseny fĂ­sic Ă©s el placement de macros i assegurar la correcciĂł de les regles de disseny un cop les restriccions de timing del circuit sĂłn satisfetes. Aquesta tesi proposa contribucions per ajudar en aquests dos reptes, facilitant laboriosos passos manuals en el procĂ©s i ajudant als enginyers de disseny fĂ­sic a obtenir millors resultats en menys temps. La primera contribuciĂł Ă©s el routing "under-the-cell", una proposta per connectar cel·les estĂ ndard usant pins laterals en les capes de metall inferior de manera sistemĂ tica. L'objectiu Ă©s reduir la congestiĂł en les capes de metall superior causades per l'Ășs de metall i vies, i aixĂ­ disminuir el nombre de violacions de regles de disseny. Per permetre la connexiĂł lateral de cel·les, estenem una llibreria de cel·les estĂ ndard amb dissenys que incorporen connexions laterals. TambĂ© proposem modificacions locals al placement per permetre explotar aquest tipus de connexions mĂ©s sovint. Els resultats experimentals mostren una reducciĂł significativa en el nombre de pins, vies i nombre de violacions de regles de disseny, amb un impacte negligible en wirelength i timing. La segona contribuciĂł, desenvolupada en col·laboraciĂł amb eSilicon (una empresa capdavantera en disseny ASIC), Ă©s el desenvolupament de HiDaP, una eina de macro placement per a dissenys industrials actuals. La proposta segueix un procĂ©s multinivell per fer el floorplan de blocks jerĂ rquics, formats per macros i cel·les estĂ ndard. Mitjançant la informaciĂł RTL disponible en la netlist, l'afinitat de dataflow entre els mĂČduls es modela i minimitza per trobar macro placements amb bones propietats de wirelength i timing. La proposta tambĂ© incorpora la possibilitat de rebre input addicional de l'enginyer, com ara suggeriments de les posicions de les macros. Finalment, tambĂ© usa mĂštodes espectrals i de forçes per guiar la cerca de floorplans. Els resultats experimentals mostren que els dissenys generats amb HiDaP sĂłn millors que els obtinguts per eines comercials capdavanteres de EDA. Els resultats tambĂ© mostren que els dissenys presentats poden obtenir un wirelength similar i millor timing que macro placements obtinguts manualment, usats per fabricaciĂł. Alguns dissenys obtinguts per HiDaP s'han dut fins a timing-closure en una o dues rondes de modificacions incrementals per part d'enginyers de disseny fĂ­sic. L'eina s'ha integrat en el procĂ©s de disseny de eSilicon i el seu desenvolupament continua mĂ©s enllĂ  de les aportacions a aquesta tesi.Postprint (published version

    Algorithmic techniques for physical design : macro placement and under-the-cell routing

    Get PDF
    With the increase of chip component density and new manufacturability constraints imposed by modern technology nodes, the role of algorithms for electronic design automation is key to the successful implementation of integrated circuits. Two of the critical steps in the physical design flows are macro placement and ensuring all design rules are honored after timing closure. This thesis proposes contributions to help in these stages, easing time-consuming manual steps and helping physical design engineers to obtain better layouts in reduced turnaround time. The first contribution is under-the-cell routing, a proposal to systematically connect standard cell components via lateral pins in the lower metal layers. The aim is to reduce congestion in the upper metal layers caused by extra metal and vias, decreasing the number of design rule violations. To allow cells to connect by abutment, a standard cell library is enriched with instances containing lateral pins in a pre-selected sharing track. Algorithms are proposed to maximize the numbers of connections via lateral connection by mapping placed cell instances to layouts with lateral pins, and proposing local placement modifications to increase the opportunities for such connections. Experimental results show a significant decrease in the number of pins, vias, and in number of design rule violations, with negligible impact on wirelength and timing. The second contribution, done in collaboration with eSilicon (a leading ASIC design company), is the creation of HiDaP, a macro placement tool for modern industrial designs. The proposed approach follows a multilevel scheme to floorplan hierarchical blocks, composed of macros and standard cells. By exploiting RTL information available in the netlist, the dataflow affinity between these blocks is modeled and minimized to find a macro placement with good wirelength and timing properties. The approach is further extended to allow additional engineer input, such as preferred macro locations, and also spectral and force methods to guide the floorplanning search. Experimental results show that the layouts generated by HiDaP outperforms those obtained by a state-of-the-art EDA physical design software, with similar wirelength and better timing when compared to manually designed tape-out ready macro placements. Layouts obtained by HiDaP have successfully been brought to near timing closure with one to two rounds of small modifications by physical design engineers. HiDaP has been fully integrated in the design flows of the company and its development remains an ongoing effort.A causa de l'increment de la densitat de components en els xip i les noves restriccions de disseny imposades pels Ășltims nodes de fabricaciĂł, el rol de l'algorĂ­smia en l'automatitzaciĂł del disseny electrĂČnic ha esdevingut clau per poder implementar circuits integrats. Dos dels passos crucials en el procĂ©s de disseny fĂ­sic Ă©s el placement de macros i assegurar la correcciĂł de les regles de disseny un cop les restriccions de timing del circuit sĂłn satisfetes. Aquesta tesi proposa contribucions per ajudar en aquests dos reptes, facilitant laboriosos passos manuals en el procĂ©s i ajudant als enginyers de disseny fĂ­sic a obtenir millors resultats en menys temps. La primera contribuciĂł Ă©s el routing "under-the-cell", una proposta per connectar cel·les estĂ ndard usant pins laterals en les capes de metall inferior de manera sistemĂ tica. L'objectiu Ă©s reduir la congestiĂł en les capes de metall superior causades per l'Ășs de metall i vies, i aixĂ­ disminuir el nombre de violacions de regles de disseny. Per permetre la connexiĂł lateral de cel·les, estenem una llibreria de cel·les estĂ ndard amb dissenys que incorporen connexions laterals. TambĂ© proposem modificacions locals al placement per permetre explotar aquest tipus de connexions mĂ©s sovint. Els resultats experimentals mostren una reducciĂł significativa en el nombre de pins, vies i nombre de violacions de regles de disseny, amb un impacte negligible en wirelength i timing. La segona contribuciĂł, desenvolupada en col·laboraciĂł amb eSilicon (una empresa capdavantera en disseny ASIC), Ă©s el desenvolupament de HiDaP, una eina de macro placement per a dissenys industrials actuals. La proposta segueix un procĂ©s multinivell per fer el floorplan de blocks jerĂ rquics, formats per macros i cel·les estĂ ndard. Mitjançant la informaciĂł RTL disponible en la netlist, l'afinitat de dataflow entre els mĂČduls es modela i minimitza per trobar macro placements amb bones propietats de wirelength i timing. La proposta tambĂ© incorpora la possibilitat de rebre input addicional de l'enginyer, com ara suggeriments de les posicions de les macros. Finalment, tambĂ© usa mĂštodes espectrals i de forçes per guiar la cerca de floorplans. Els resultats experimentals mostren que els dissenys generats amb HiDaP sĂłn millors que els obtinguts per eines comercials capdavanteres de EDA. Els resultats tambĂ© mostren que els dissenys presentats poden obtenir un wirelength similar i millor timing que macro placements obtinguts manualment, usats per fabricaciĂł. Alguns dissenys obtinguts per HiDaP s'han dut fins a timing-closure en una o dues rondes de modificacions incrementals per part d'enginyers de disseny fĂ­sic. L'eina s'ha integrat en el procĂ©s de disseny de eSilicon i el seu desenvolupament continua mĂ©s enllĂ  de les aportacions a aquesta tesi

    Application of lean scheduling and production control in non-repetitive manufacturing systems using intelligent agent decision support

    Get PDF
    This thesis was submitted for the degree of Doctor of Philosophy and awarded by Brunel University.Lean Manufacturing (LM) is widely accepted as a world-class manufacturing paradigm, its currency and superiority are manifested in numerous recent success stories. Most lean tools including Just-in-Time (JIT) were designed for repetitive serial production systems. This resulted in a substantial stream of research which dismissed a priori the suitability of LM for non-repetitive non-serial job-shops. The extension of LM into non-repetitive production systems is opposed on the basis of the sheer complexity of applying JIT pull production control in non-repetitive systems fabricating a high variety of products. However, the application of LM in job-shops is not unexplored. Studies proposing the extension of leanness into non-repetitive production systems have promoted the modification of pull control mechanisms or reconfiguration of job-shops into cellular manufacturing systems. This thesis sought to address the shortcomings of the aforementioned approaches. The contribution of this thesis to knowledge in the field of production and operations management is threefold: Firstly, a Multi-Agent System (MAS) is designed to directly apply pull production control to a good approximation of a real-life job-shop. The scale and complexity of the developed MAS prove that the application of pull production control in non-repetitive manufacturing systems is challenging, perplex and laborious. Secondly, the thesis examines three pull production control mechanisms namely, Kanban, Base Stock and Constant Work-in-Process (CONWIP) which it enhances so as to prevent system deadlocks, an issue largely unaddressed in the relevant literature. Having successfully tested the transferability of pull production control to non-repetitive manufacturing, the third contribution of this thesis is that it uses experimental and empirical data to examine the impact of pull production control on job-shop performance. The thesis identifies issues resulting from the application of pull control in job-shops which have implications for industry practice and concludes by outlining further research that can be undertaken in this direction

    A Structured Design Methodology for High Performance VLSI Arrays

    Get PDF
    abstract: The geometric growth in the integrated circuit technology due to transistor scaling also with system-on-chip design strategy, the complexity of the integrated circuit has increased manifold. Short time to market with high reliability and performance is one of the most competitive challenges. Both custom and ASIC design methodologies have evolved over the time to cope with this but the high manual labor in custom and statistic design in ASIC are still causes of concern. This work proposes a new circuit design strategy that focuses mostly on arrayed structures like TLB, RF, Cache, IPCAM etc. that reduces the manual effort to a great extent and also makes the design regular, repetitive still achieving high performance. The method proposes making the complete design custom schematic but using the standard cells. This requires adding some custom cells to the already exhaustive library to optimize the design for performance. Once schematic is finalized, the designer places these standard cells in a spreadsheet, placing closely the cells in the critical paths. A Perl script then generates Cadence Encounter compatible placement file. The design is then routed in Encounter. Since designer is the best judge of the circuit architecture, placement by the designer will allow achieve most optimal design. Several designs like IPCAM, issue logic, TLB, RF and Cache designs were carried out and the performance were compared against the fully custom and ASIC flow. The TLB, RF and Cache were the part of the HEMES microprocessor.Dissertation/ThesisPh.D. Electrical Engineering 201

    A Full Wave Electromagnetic Framework for Optimization and Uncertainty Quantification of Communication Systems in Underground Mine Environments

    Full text link
    Wireless communication, sensing, and tracking systems in mine environments are essential for protecting miners’ safety and daily operations. The design, deployment, and post-event reconfiguration of such systems greatly benefits from electromagnetic (EM) frameworks that can statistically analyze and optimize the wireless systems in realistic mine environments. This thesis proposes such a framework by developing two fast and efficient full-wave EM simulators and coupling them with a modern optimization algorithm and an efficient uncertainty quantification (UQ) method to synthesize system configurations and produce statistical insights. The first simulator is a fast multipole method – fast Fourier transform (FMM-FFT) accelerated surface integral equation (SIE) simulator. It relies on Muller and combined fields SIEs to account for scattering from mine walls and conductors, respectively. During the iterative solution of the SIE system, the computational and memory costs are reduced by using the FMM-FFT scheme. The memory costs are further reduced by compressing large data structures via singular value and Tucker decomposition. The second simulator is a domain decomposition (DD)-based SIE simulator. It first divides the physical domain of a mine tunnel or gallery into subdomains and then characterizes EM wave propagation in each subdomain separately. Finally, the DD-based SIE simulator assembles the solutions of subdomains and solves an inter-domain system using an efficient subdomain-combining scheme. While the DD-based SIE simulator is faster and more memory-efficient than the FMM-FFT accelerated SIE simulator when characterizing EM wave propagation in electrically large mine environments, it does not apply to certain scenarios that the FMM-FFT accelerated SIE simulators can handle. The optimization algorithm and UQ method that are coupled with the EM simulators are the dividing rectangles (DIRECT) algorithm and the high dimensional model representation (HDMR)-enhanced multi-element probabilistic collocation (ME-PC) method, respectively. The DIRECT algorithm is a Lipschitzian optimization method but does not require the knowledge of the Lipschitz constant. It performs a series of moves that explore the behavior of the objective function at a set of points in the carefully picked sub-regions of the search space. The HDMR-enhanced ME-PC method permits the accurate and efficient construction of surrogate models for EM observables in high dimensions. The HDMR expansion expresses the observable as finite sums of component functions that represent independent and combined contributions of random variables to the observable and hence reduces the complexity of UQ by including only the most significant component functions to minimize the computational cost of building the surrogate model. This research numerically validated and verified the two EM simulators and demonstrated the efficiency and applicability of the EM framework via its application to optimization and UQ problems in large and realistic mine environments.PHDElectrical EngineeringUniversity of Michigan, Horace H. Rackham School of Graduate Studieshttps://deepblue.lib.umich.edu/bitstream/2027.42/146028/1/wtsheng_1.pd

    Layout regularity metric as a fast indicator of process variations

    Get PDF
    Integrated circuits design faces increasing challenge as we scale down due to the increase of the effect of sensitivity to process variations. Systematic variations induced by different steps in the lithography process affect both parametric and functional yields of the designs. These variations are known, themselves, to be affected by layout topologies. Design for Manufacturability (DFM) aims at defining techniques that mitigate variations and improve yield. Layout regularity is one of the trending techniques suggested by DFM to mitigate process variations effect. There are several solutions to create regular designs, like restricted design rules and regular fabrics. These regular solutions raised the need for a regularity metric. Metrics in literature are insufficient for different reasons; either because they are qualitative or computationally intensive. Furthermore, there is no study relating either lithography or electrical variations to layout regularity. In this work, layout regularity is studied in details and a new geometrical-based layout regularity metric is derived. This metric is verified against lithographic simulations and shows good correlation. Calculation of the metric takes only few minutes on 1mm x 1mm design, which is considered fast compared to the time taken by simulations. This makes it a good candidate for pre-processing the layout data and selecting certain areas of interest for lithographic simulations for faster throughput. The layout regularity metric is also compared against a model that measures electrical variations due to systematic lithographic variations. The validity of using the regularity metric to flag circuits that have high variability using the developed electrical variations model is shown. The regularity metric results compared to the electrical variability model results show matching percentage that can reach 80%, which means that this metric can be used as a fast indicator of designs more susceptible to lithography and hence electrical variations
    • 

    corecore