152 research outputs found

    Fabrication and Characterization of AlGaN/GaN Metal-Insulator-Semiconductor High Electron Mobility Transistors for High Power Applications

    Get PDF
    AlGaN/GaN metalโ€“insulatorโ€“semiconductor high electron mobility transistors (MIS-HEMTs) are promising candidates for next generation high-efficiency and high-voltage power applications. The excellent physical properties of GaN-based materials, featuring high critical electric field and large carrier saturation velocity, combined to the high carrier density and large mobility of the two-dimensional electron gas confined at the AlGaN/GaN interface, enable higher power density minimizing power losses and self-heating of the device. However, the advent of the GaN-based MIS-HEMT to the industrial production is still hindered by technological challenges that are being faced in parallel. Among them, one of the biggest challenge is represented by the insertion of a gate dielectric in MIS-HEMTs compared to Schottky-gate HEMTs, which causes operational instability due to the presence of high-density trap states located at the dielectric/III-nitride interface or within the dielectric. The development of a gold-free ohmic contact technology is another important concern since the high-volume and cost-effective production of GaN-based transistors also depends on the cooperative manufacturing of GaN-based devices in Si production facilities, where gold represents an undesidered source of contamination. In fact, even though over the past years there have been multiple attemps to develop gold-free ohmic contacts, there is still no full understanding of the contact formation and current transport mechanism. The first objective of this work was the investigation of a gold-free and low-resistive ohmic contact technology to AlGaN/GaN based on sputtered Ta/Al-based metal stacks annealed at low temperatures. A low contact resistance below 1 ฮฉ mm was obtained using Ta/Al-based metal stacks annealed at temperatures below 600 ยฐC. The ohmic behavior and the contact properties of contact resistance, optimum annealing temperature and thermal stability of Ta/Al-based contacts were studied. The nature of the current transport was also investigated indicating a contact mechanism governed by thermionic field emission tunneling through the AlGaN barrier. Finally, gold-free Ta/Al-based ohmic contacts were integrated in MIS-HEMTs fabricated on a 150 mm GaN-on- Si substrate, demonstrating to be a promising contact technology for AlGaN/GaN devices and revealing to be beneficial for devices operating at high temperatures. The optimization of the MIS-gate structure in terms of trap states at the dielectric/III-nitride interface and inside the dielectric in MIS-HEMTs using atomic layer deposited (ALD) Al2O3 as gate insulator was the second focus of this work. First, the MIS-gate structure was improved by an O2 plasma surface preconditioning applied before the Al2O3 deposition and by an N2 postmetallization anneal applied after gate metallization, which significantly reduced trap states at the Al2O3/GaN interface and within the dielectric. Afterwards, the effectiveness of these treatments was demonstrated in Al2O3-AlGaN/GaN MIS-HEMTs by pulsed currentโ€“voltage measurements revealing improved threshold voltage stability. Lastly, it was shown that also the lower annealing temperatures used for the formation of Ta/Al-based ohmic contacts, processed before gate dielectric deposition, are beneficial in terms of trap states at the ALD-Al2O3/GaN interface, representing a new aspect to be considered when using an ohmic first fabrication approach

    ้›†็ฉๅŒ–AlGaN/GaNใ‚คใ‚ชใƒณๆ„Ÿๅฟœๆ€ง้›ป็•ŒๅŠนๆžœใƒˆใƒฉใƒณใ‚ธใ‚นใ‚ฟใซ้–ขใ™ใ‚‹็ ”็ฉถ

    Get PDF
    AlGaN/GaN heterostructure ion-sensitive field-effect transistors (ISFETs) can provide high sensitivity and fast response due to the high electron mobility and high electron density providing by the two-dimensional electron gas (2DEG) generated at the AlGaN/GaN heterostructure interface. My research mainly focuses on the investigation of the integrated AlGaN/GaN ISFETs for pH sensing. To achieve high performance on AlGaN/GaN ISFET pH sensor, we fabricated sensors with different Al composition (25%, and 35%). We compared the characteristics of the sensors with 25% and 35% Al composition. The pH sensor with Al composition (35%) in the barrier layer with a 16 nm transition layer of 25% Al composition shows better surface sensitivity (SV) of 56.01 mV/pH, which is higher than that of the sensor with 25% Al composition (53.94 mV /pH), but worse current sensitivity SA (-0.095 mA/pH Vs -0.102 mA/pH). In addition, threshold voltage increases from approximately -1.6 V to approximately -0.8 V when measured in alkaline solution for 5 times, along with a decreasing output current. High-resolution SEM photos show that there are high density hexagonal pits with the size of approximately 100 nm on the device surface, presenting the etching effect along the dislocations during alkaline sensing. The X-ray photoelectron spectroscopy (XPS) demonstrates that the intensity of the Ga3d and Al2p spectra decreases after pH sensing measurement, implying the variation of chemical component occurs in the upper AlGaN thin layer. Many voids with a size of approximately 100 nm were observed from the transmission electron microscope (TEM) pictures, which are comparable with that of the scanning electron microscope (SEM). Combining with the energy dispersive X-ray spectroscopy (EDX), the degradation in electrical performance can be attributed to the transformation of AlGaN into oxide as well as the followed alkaline solution dissolve. To avoid the reaction of surface Al with solution, a 3 nm GaN cap layer was added. To reduce the barrier layer thickness, a recessed gate with a length of 2 ฮผm and a depth of about 14 nm was formed. The current sensitivity of the AlGaN/GaN ISFET pH sensors has been improved by 61%, from 52.25 to 84.39 ฮผA/pH, by the recessed-gate structure and ammoniate water treatment. A pH meter system based on the GaN pH sensor was constructed and evaluated. GaN-based ISFET can measure the pH value of the solutions with similar circuit, whether in the linear region or the saturation region. The measurement is stable and repeatable. The small current in the linear region can make the measurement stable and fast, but the resolution is a bit low. High resolution can be obtained in the saturation region, but the measurement is unstable due to excessive current. The Schottky barrier diode (SBD) based on GaN can be used for temperature sensing, and the temperature sensitivity can be improved by different structure design. A recessed anode AlGaN/GaN SBD is suitable to integrate with GaN-based power device for temperature sensor application. The temperature dependent forward voltage at a fixed current shows good linearity, resulting in a sensitivity of approximately 1.0 mV/K. The p-NiO guard ring can suppress the electric field at the anode/GaN interface and field crowding at the anode edge effectively, which enhances the breakdown voltage to approximately -250 V. Using the same material, we can design an integrated device sensor based on GaN to measure temperature and pH simultaneously, which will solve the measurement deviation of pH sensor at different temperatures

    Optimization of Ohmic Contacts and Surface Passivation for โ€˜Buffer-Freeโ€™ GaN HEMT Technologies

    Get PDF
    Gallium nitride high electron mobility transistors (GaN HEMTs) draw attention from high frequency and high power industries due to unique properties including high electron mobility and saturation velocity combined with high breakdown voltage. This makes GaN HEMTs suitable for power devices with high switching speed and high frequency applications with high power density requirements. However, the device performance is still partly limited by problems associated with the formation of low resistivity ohmic contact, trapping effects, and the confinement of the two-dimensional electron gas (2DEG).\ua0\ua0\ua0 In this work, reproducible deeply recessed Ta-based ohmic contacts with a low contact resistance of 0.2 - 0.3 ฮฉmm, a low annealing temperature of 550 - 600 \ub0C, and a large process window were optimized. Low annealing temperature reduces the risk of 2DEG degradation and promotes better morphology of the ohmic contacts. Deeply recessed ohmic contacts beyond the barrier layers make the process less sensitive to the etching depth since the ohmic contacts are formed on the sidewall of the recess. The concept of deeply recessed low resistivity ohmic contacts is also successfully demonstrated on different epi-structures with different barrier designs.\ua0\ua0\ua0 Passivation with silicon nitride (SiN) is an effective method to suppress electron trapping effects. Low Pressure Chemical Vapor Deposition (LPCVD) of SiN has shown to result in high quality dielectrics with excellent passivation effect. However, the surface traps are not fully removed after passivation due to dangling-bonds and native oxide layer at the interface of passivation and epi-structure. Therefore, a plasma-free in-situ NH3 pretreatment method before the deposition of the SiN passivation was studied. The samples with the pretreatment present a 38% lower surface-related current collapse and a 50% lower dynamic on-resistance than the samples without the pretreatment. The improved dynamic performance and lower dispersion directly yield a 30% higher output power of (3.4 vs. 2.6 W/mm) and a better power added efficiency (44% vs. 39%) at 3 GHz. Furthermore, it was found that a longer pretreatment duration improves the uniformity of device performance.\ua0\ua0\ua0 Traditionally, decreasing leakage currents in the buffer and improving electron confinement to the 2DEG are achieved by intentional acceptor-like dopants (iron and carbon) in the GaN buffer and back-barrier layer made by a ternary III-nitride material. However, electron trapping effects and thermal resistivity increase due to the dopants and the ternary material, respectively. In this thesis, a novel approach, where a unique epitaxial scheme permits a thickness reduction of the unintentional-doped (UID) GaN layer down to 250 nm, as compared to a normal thickness of 2 ฮผm. In this way, the AlN nucleation layer effectively act as a back-barrier. The approached, named QuanFINE is investigated and benchmarked to a conventional epi-structure with a thick Fe-doped-GaN buffer. A 2DEG mobility of 2000 cm^2/V-s and the 2DEG concentration of 1.1โˆ™10^13 cm^-2 on QuanFINE indicate that the 2DEG properties are not sacrificed with a thin UID-GaN layer. Thanks to the thin UID-GaN layer of QuanFINE, trapping effects are reduced. Comparable output power of 4.1 W/mm and a PAE of 40% at 3 GHz of both QuanFINE and conventional Fe-doped thick GaN buffer sample are measured

    GaN-Based Schottky Diode

    Get PDF
    Schottky diode, also known as Schottky barrier diode (SBD), fabricated on GaN and related III-Nitride materials has been researched intensively and extensively for the past two decades. This chapter reviews the property of GaN material, the advantage of GaN-based SBD, and the Schottky contact to GaN including current transporation theory, Schottky material selection, contact quality and thermal stability. The chapter also discusses about the GaN lateral, quasi-vertical and vertical SBDs, and AlGaN/GaN field effect SBDs: the evolution of the epitaxial structure, processing techniques and device structure. The chapter closes with challenges ahead and gives an outlook on the future development of the GaN SBDs

    Advanced III-Nitride Technology for mm-Wave Applications

    Get PDF
    Within wireless communication, there is a continuously growing need for more bandwidth due to an increasing number of users and data intense services. The development within sensor systems such as radars, is largely driven by the need for increased detection range and robustness. In such systems, power amplification and generation at high frequency are of importance. High-electron mobility transistors based on gallium nitride (GaN HEMTs) offer efficient generation of high output power at high frequency. This is enabled by the unique characteristics of GaN and its heterostructures, with a large breakdown field, related to the wide bandgap, and excellent electron transport properties. Due to this, it is today used in high-performing radar, telecommunications, as well as power electronic systems. Despite substantial progress over the last decade, the GaN HEMT is still the subject of intense research to reach its full potential. \ua0Recent development within epitaxy has significantly improved the quality of III-nitride semiconductors, and enabled indium aluminum nitride (InAlN) and InAlGaN as alternatives to AlGaN in the conventional AlGaN/GaN heterostructure. The higher polarization charge in these materials allows for considerable downscaling of the barrier layer thickness with a sustained high sheet carrier density. \ua0This has opened new possibilities for optimization of the high frequency performance. \ua0\ua0In this work, HEMTs with downscaled InAl(Ga)N barrier layers have been developed with the goal to optimize the devices for power amplification in the mm-wave range. Electron trapping and short-channel effects have been addressed in the design of the epi and in the optimization of the process modules. Different surface passivation layers and deposition methods have been evaluated to mitigate electron trapping at the surface. The output power density of a HEMT increased from 1.7 to 4.1 W/mm after passivation with a SiNx layer. The deposition method for Al2O3 passivation layers showed to have a profound impact on the electron trapping. A layer deposited by plasma-assisted atomic layer deposition (ALD) exhibited superior passivation of the surface traps as compared to the layer deposited by thermal ALD, resulting in an output power at 3 GHz of 3.3, and 1.9 W/mm, respectively. The effect of the channel layer thickness (50 โ€“ 150 nm) in InAlGaN/AlN/GaN HEMTs with and AlGaN back barrier demonstrated a trade-off between short-channel effects and deep-level electron trapping in the back barrier. The maximum output power was 5.3 W/mm at 30 GHz, obtained for a GaN layer thickness of 100 nm. To further enhance the high frequency performance, the ohmic contacts were optimized by the development of a Ta-based, Au free, metal scheme. Competitive contact resistance of < 0.2 โ„ฆmm was achieved on both AlGaN/GaN and InAlN heterostructures with a Ta/Al/Ta metal stack. The contacts are annealed at a low temperature (550 โ€“ 575 \ubaC) compared to more conventional contact schemes, resulting in a smooth morphology and good edge acuity.\ua0 The implementation of microwave monolithic integrated circuits (MMICs) based on III-nitride HEMTs facilitate the use of III-nitride HEMTs in a system where frequency and compactness are key requirements. Thin film resistors (TFRs) are one of the passive components required in MMICs. In this work, a low-resistance titanium nitride (TiN) TFR was developed as a complement to the higher resistance tantalum nitride (TaN) TFR and mesa resistor in the in-house MMIC process. The developed TiN TFR exhibits a sheet resistance of 10 โ„ฆ/โ–ก, compared to 50 and 200-300 โ„ฆ/โ–ก of the TaN TFR and semiconductor resistor, respectively. The critical dissipated power in the TFR showed a correlation to the footprint area, indicating that Joule-heating was the main cause of failure. TiN- and TaN films exhibit different signs of the thermal coefficient of resistance. This feature was used to demonstrate a temperature compensated TFR (TCR = -60 ppm \ubaC) with application in MMICs operating in a wide temperature range

    RF-์Šคํผํ„ฐ๋ง ๊ฒŒ์ดํŠธ ์ ˆ์—ฐ๋ง‰์„ ์ด์šฉํ•œ AlGaN/GaN ์ „๋ ฅ ์†Œ์ž

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ (๋ฐ•์‚ฌ)-- ์„œ์šธ๋Œ€ํ•™๊ต ๋Œ€ํ•™์› : ์ „๊ธฐยท์ปดํ“จํ„ฐ๊ณตํ•™๋ถ€, 2013. 8. ํ•œ๋ฏผ๊ตฌ.๋ณธ ๋…ผ๋ฌธ์—์„œ๋Š” AlGaN/GaN ์ „๋ ฅ์†Œ์ž์˜ ๋ˆ„์„ค์ „๋ฅ˜์™€ ํ•ญ๋ณต์ „์•• ํŠน์„ฑ์˜ ๊ฐœ์„ ์„ ์œ„ํ•œ ๋ฐฉ๋ฒ•์œผ๋กœ RF-์Šคํผํ„ฐ๋ง ๊ฒŒ์ดํŠธ ์ ˆ์—ฐ๋ง‰์„ ์ œ์•ˆํ•˜์˜€๋‹ค. ๋˜ํ•œ, RF-์Šคํผํ„ฐ๋ง์— ์˜ํ•ด ์ฆ์ฐฉ๋œ ๋ฐ•๋ง‰์˜ ์žฌ๋ฃŒ์ , ์ „๊ธฐ์  ํŠน์„ฑ๊ณผ ํ•จ๊ป˜ ์ ˆ์—ฐ๋ง‰/GaN์˜ ๊ณ„๋ฉด ํŠน์„ฑ์„ ๋ถ„์„ํ•˜์˜€๋‹ค. ๊ทธ๋ฆฌ๊ณ , ์†Œ์ž์˜ ์ „๊ธฐ์  ํŠน์„ฑ์„ ํ–ฅ์ƒ์‹œํ‚ค๊ธฐ ์œ„ํ•œ ์ƒˆ๋กœ์šด ๊ตฌ์กฐ๋ฅผ ์ œ์•ˆํ•˜๊ณ , ์ œ์ž‘๊ณผ ๋ถ„์„์„ ํ†ตํ•ด ๊ฒ€์ฆํ•˜์˜€๋‹ค. ์ตœ๊ทผ, AlGaN/GaN HEMTs๋Š” ๋†’์€ ์ž„๊ณ„์ „๊ณ„์™€ ๋†’์€ ์—ด์ „๋„๋„, ๋‚ฎ์€ ์ง„์„ฑ์บ๋ฆฌ์–ด ๋†๋„ ํŠน์„ฑ๊ณผ ํ•จ๊ป˜ ํ™œ๋ฐœํ•˜๊ฒŒ ์—ฐ๊ตฌ๊ฐ€ ์ง„ํ–‰๋˜๊ณ  ์žˆ๋‹ค. ๋˜ํ•œ, AlGaN/GaN ์ด์ข…์ ‘ํ•ฉ์€ ๋†’์€ ์ „์ž ์ด๋™๋„์™€ ๋†๋„๋ฅผ ๊ฐ–๋Š” 2DEG ์ฑ„๋„์ธต์„ ํ˜•์„ฑํ•˜์—ฌ Si ์†Œ์ž ๋Œ€๋น„ ๋งค์šฐ ๋‚ฎ์€ ์˜จ-์ €ํ•ญ์„ ๋ณด์ธ๋‹ค. ๋”ฐ๋ผ์„œ, AlGaN/GaN HEMTs๋Š” ์ฐจ์„ธ๋Œ€ ์ „๋ ฅ๋ฐ˜๋„์ฒด๋กœ ์ ํ•ฉํ•˜๋‹ค๊ณ  ํ•  ์ˆ˜ ์žˆ๋‹ค. ํ•˜์ง€๋งŒ, AlGaN/GaN ์ด์ข…์ ‘ํ•ฉ์—์„œ์˜ ์ „์žํŠธ๋ž˜ํ•‘๊ณผ ์‡ผํŠธํ‚ค/GaN ๊ณ„๋ฉด์—์„œ์˜ ์ „์ž ํ„ฐ๋„๋ง์€ ํ‘œ๋ฉด ๋ˆ„์„ค์ „๋ฅ˜๋ฅผ ์œ ๋ฐœํ•˜์—ฌ ์—ฌ์ „ํžˆ ํ•ด๊ฒฐ๋˜์–ด์•ผ ๋  ๋ฌธ์ œ์ ์œผ๋กœ ๋‚จ์•„์žˆ๋‹ค. ๋ˆ„์„ค์ „๋ฅ˜์˜ ์–ต์ œ์™€ ํ•ญ๋ณต์ „์••์˜ ์ฆ๊ฐ€๋Š” ์˜คํ”„ ์ƒํƒœ์˜ ์ „๋ ฅ์†์‹ค๊ณผ ๋†’์€ ์ „๋ ฅ๋ณ€ํ™˜ ํšจ์œจ์„ ์œ„ํ•ด ๋งค์šฐ ์ค‘์š”ํ•˜๋‹ค. MOS ๊ตฌ์กฐ๋Š” ๊ฒŒ์ดํŠธ ์ ˆ์—ฐ๋ง‰์ด ํšจ๊ณผ์ ์œผ๋กœ ํ‘œ๋ฉด ๋ˆ„์„ค์ „๋ฅ˜๋ฅผ ์–ต์ œํ•˜๊ณ , AlGaN/GaN HEMTs์˜ ๊ฒŒ์ดํŠธ-์†Œ์Šค, ๊ฒŒ์ดํŠธ-๋“œ๋ ˆ์ธ์˜ ๊ธฐ์ƒ ๋‹ค์ด์˜ค๋“œ์˜ ๋™์ž‘์„ ์–ต์ œํ•˜๋Š”๋ฐ ์œ ์šฉํ•˜๋‹ค. RF-์Šคํผํ„ฐ๋ง HfO2๋ฅผ AlGaN/GaN MOS-HEMTs์˜ ๊ฒŒ์ดํŠธ ์ ˆ์—ฐ๋ง‰์œผ๋กœ์˜ ์ ์šฉ์„ ์ œ์•ˆํ•˜์˜€์œผ๋ฉฐ, ์••๋ ฅ๊ณผ ์Šคํผํ„ฐ๋ง ์ „๋ ฅ ๋“ฑ์˜ ์Šคํผํ„ฐ๋ง ์กฐ๊ฑด์„ ์ตœ์ ํ™”ํ•จ์œผ๋กœ์จ ์šฐ์ˆ˜ํ•œ ์ ˆ์—ฐํŠน์„ฑ์„ ํ™•๋ณดํ•˜์˜€๋‹ค. ๋˜ํ•œ, ๋‹ค์–‘ํ•œ ์Šคํผํ„ฐ๋ง ์กฐ๊ฑด์—์„œ ์ฆ์ฐฉ๋œ HfO2 ๊ฒŒ์ดํŠธ ์ ˆ์—ฐ๋ง‰์˜ ์žฌ๋ฃŒ์ , ์ „๊ธฐ์  ํŠน์„ฑ์˜ ๋ถ„์„์„ ์œ„ํ•ด, XRD, XPS, AES ์ธก์ •์„ ํ•˜์˜€๋‹ค. ๊ทธ๋ฆฌ๊ณ , ํ›„์ฒ˜๋ฆฌ ์—ด๊ณต์ •์˜ ํšจ๊ณผ๋„ ํ•จ๊ป˜ ๋ถ„์„ํ•˜์˜€๋‹ค. p-type Si ๊ธฐํŒ ์œ„์— ์ฆ์ฐฉ๋œ 15 nm ๋‘๊ป˜์˜ HfO2๋Š” 900 oC์—์„œ 2์‹œ๊ฐ„์˜ ์–ด๋‹๋ง ์ดํ›„ ํ•ญ๋ณต์ „์••์€ 42 V์—์„œ 78 V๋กœ ์ฆ๊ฐ€ํ•จ์„ ํ™•์ธํ•˜์˜€๋‹ค. RF-์Šคํผํ„ฐ๋ง์— ์˜ํ•œ HfO2๋ฅผ ์ ์šฉํ•œ AlGaN/GaN MOS-HEMTs-on-Si์€ 1524 V์˜ ๋†’์€ ํ•ญ๋ณต์ „์••๊ณผ ํ•จ๊ป˜ VDS= 100 V, VGS= โ€“10 V ๊ธฐ์ค€์—์„œ 67 pA/mm์˜ ๋‚ฎ์€ ๋“œ๋ ˆ์ธ ๋ˆ„์„ค์ „๋ฅ˜, 2.37ร—1010์˜ ๋†’์€ ์˜จ/์˜คํ”„ ์ „๋ฅ˜๋น„๋ฅผ ๋ณด์˜€๋‹ค. ๋ฐ˜๋ฉด์—, ๊ฒŒ์ดํŠธ ์ ˆ์—ฐ๋ง‰์ด ์—†๋Š” AlGaN/GaN HEMTs์˜ ๊ฒฝ์šฐ 470 V์˜ ํ•ญ๋ณต์ „์••๊ณผ 192 ฮผA/mm์˜ ๋“œ๋ ˆ์ธ ๋ˆ„์„ค์ „๋ฅ˜, 7.61ร—103์˜ ์˜จ/์˜คํ”„ ์ „๋ฅ˜๋น„๋ฅผ ๋ณด์˜€๋‹ค. HfO2 ๊ฒŒ์ดํŠธ ์ ˆ์—ฐ๋ง‰์„ ์ ์šฉํ•œ AlGaN/GaN MOS-HEMTs ์†Œ์ž์—์„œ์˜ ํ•ญ๋ณต์ „์•• ์ฆ๊ฐ€ ๋งค์ปค๋‹ˆ์ฆ˜์„ ๋ถ„์„ํ•˜๊ธฐ ์œ„ํ•˜์—ฌ ๋‹ค์–‘ํ•œ ์ „๊ธฐ์  ํŠน์„ฑ์„ ๋ถ„์„ํ•˜์˜€๋‹ค. ํ•ญ๋ณต์ „์•• ์ฆ๊ฐ€์˜ ์›์ธ์„ ํ‘œ๋ฉด ํŒจ์‹œ๋ฒ ์ด์…˜ ํšจ๊ณผ์™€ ๊ฒŒ์ดํŠธ ๋ˆ„์„ค์ „๋ฅ˜์˜ ์ฐจ๋‹จ ํŠน์„ฑ์˜ ๋‘ ๊ฐ€์ง€ ํšจ๊ณผ๋กœ ๋‚˜๋ˆ„์–ด์„œ ๋ถ„์„ํ•˜์˜€๋‹ค. ๊ฒŒ์ดํŠธ-๋“œ๋ ˆ์ธ MOS ๋‹ค์ด์˜ค๋“œ์—์„œ ์ˆœ๋ฐฉํ–ฅ๊ณผ ์—ญ๋ฐฉํ–ฅ ์ฐจ๋‹จ ํŠน์„ฑ ๋ชจ๋‘ ํฌ๊ฒŒ ํ–ฅ์ƒ๋˜์—ˆ๊ณ , ํŽ„์Šค I-V์™€ C-V ํŠน์„ฑ์„ ํ†ตํ•ด ํ‰๊ฐ€๋œ ์ „์ž ํŠธ๋ž˜ํ•‘ ํ˜„์ƒ ๋˜ํ•œ ํšจ๊ณผ์ ์œผ๋กœ ์–ต์ œ๋˜์—ˆ์Œ์„ ํ™•์ธํ•˜์˜€๋‹ค. ๋งˆ์ง€๋ง‰์œผ๋กœ, ๊ณ ์ฃผํŒŒ C-V ํŠน์„ฑ์„ ์‚ฌ์šฉํ•˜๋Š” Terman ๋ฐฉ๋ฒ•์œผ๋กœ ๊ณ„๋ฉด์ „ํ•˜๋ฐ€๋„ (Dit)๋ฅผ ์ถ”์ถœํ•˜์˜€๋‹ค. ์ „๋„๋Œ€๋กœ๋ถ€ํ„ฐ 0.1 eV ์•„๋ž˜์—์„œ 6ร—1012 cmโ€“2โ€ขeVโ€“1 ์˜ ์–‘ํ˜ธํ•œ ์ˆ˜์ค€์˜ ๊ณ„๋ฉด์ „ํ•˜๋ฐ€๋„ ๊ฐ’์„ ํ™•๋ณดํ•˜์˜€๋‹ค. ๊ณจ๋“œ-ํ”„๋ฆฌ ๊ณต์ •์˜ AlGaN/GaN ์†Œ์ž์™€ CMOS ๊ณต์ •์˜ ํ˜ธํ™˜์„ฑ์„ ์œ„ํ•ด์„œ ๋งค์šฐ ์œ ๋งํ•œ ๊ธฐ์ˆ ์ด๋‹ค. ๊ณจ๋“œ-ํ”„๋ฆฌ ๊ณต์ •์€ CMOS ๊ณต์ •๊ณผ ํ•จ๊ป˜ ๊ณต์ • ๋‹จ๊ฐ€๋ฅผ ํš๊ธฐ์ ์œผ๋กœ ์ค„์ผ ์ˆ˜ ์žˆ์œผ๋ฏ€๋กœ ๋ฏธ๋ž˜ ์ „๋ ฅ๋ฐ˜๋„์ฒด ์‚ฐ์—…์—์„œ ๋”์šฑ ์ค‘์š”์„ฑ์ด ๋ถ€๊ฐ๋  ๊ฒƒ์ด๋‹ค. ๋ณธ ๋…ผ๋ฌธ์—์„œ๋Š” AlGaN/GaN MOS-HEMTs-on-Si์—์„œ ๊ณจ๋“œ ๊ธฐ๋ฐ˜์˜ ์ „๊ทน์„ ๋Œ€์ฒดํ•˜๊ธฐ ์œ„ํ•œ ๋ชฉ์ ์œผ๋กœ TaN์„ ์ œ์•ˆํ•˜์˜€๋‹ค. ์žฌ๋ฃŒ์ , ์ „๊ธฐ์  ํŠน์„ฑ์˜ ๋ถ„์„์„ ์œ„ํ•ด์„œ ํ›„์ฒ˜๋ฆฌ ์—ด๊ณต์ • ์ „๊ณผ ํ›„์˜ XRD, SEM, 4 point probe๋ฅผ ํ†ตํ•œ ๋ถ„์„์„ ํ•˜์˜€๋‹ค. ๋˜ํ•œ, ๋‚ฎ์€ ์ „๊ทน ์ €ํ•ญ๊ณผ, ํ•˜๋ถ€์ธต์ธ AlGaN/GaN ์ด์ข…์ ‘ํ•ฉ๊ตฌ์กฐ๋กœ์˜ ์Šคํผํ„ฐ๋ง ๋ฐ๋ฏธ์ง€๋ฅผ ์ค„์ด๊ธฐ ์œ„ํ•ด์„œ ์Šคํผํ„ฐ๋ง ํŒŒ์›Œ์™€ ์••๋ ฅ ๋“ฑ์„ ์ตœ์ ํ™”ํ•˜์˜€๋‹ค. TaN ๊ฒŒ์ดํŠธ๋ฅผ Ni/Au ๋Œ€์‹  ์ ์šฉํ•œ AlGaN/GaN MOS-HEMTs๋Š” 10 ฮผm์˜ ๊ฒŒ์ดํŠธ-๋“œ๋ ˆ์ธ ๊ฑฐ๋ฆฌ์—์„œ 4.56ร—1010์˜ ๋†’์€ ์˜จ/์˜คํ”„ ์ „๋ฅ˜๋น„์™€ 1460 V์˜ ํ•ญ๋ณต์ „์••์„ ๋ณด์˜€์œผ๋ฉฐ, ๊ฒŒ์ดํŠธ์™€ ํ•จ๊ป˜ Ti/Al/TaN์„ ์†Œ์Šค์™€ ๋“œ๋ ˆ์ธ์„ ์ ์šฉํ•œ ์†Œ์ž๋Š” 2.0ร—1010 ์˜ ์˜จ/์˜คํ”„ ์ „๋ฅ˜๋น„๋ฅผ ๋ณด์˜€๋‹ค. ๋‹ค์Œ์œผ๋กœ๋Š” ๋ณ„๋„์˜ GaN ์„ฑ์žฅ๊ธฐ์ˆ ๊ณผ ์‚ฌ์ง„๊ณต์ • ์—†์ด AlGaN/GaN MOS-HMETs-on-Si์˜ ์˜จ-์ €ํ•ญ(Ron,sp)์„ ๊ฐ์†Œ์‹œํ‚ค๊ธฐ ์œ„ํ•œ ๋ฐฉ๋ฒ•์œผ๋กœ ๊ฒŒ์ดํŠธ-์†Œ์Šค ๊ฐ„๊ฒฉ์„ ์ œ๊ฑฐ๋œ ์—ฐ์žฅ๋œ ๊ฒŒ์ดํŠธ ๊ตฌ์กฐ๋ฅผ ์ œ์•ˆํ•˜์˜€๋‹ค. ์ด ๊ตฌ์กฐ๋Š” TaN ๊ฒŒ์ดํŠธ์˜ ์ผ๋ถ€๋ถ„์ด ์†Œ์Šค ์ƒ๋‹จ์— ๊ฒน์นœ ๊ตฌ์กฐ๋กœ HfO2 ๊ฒŒ์ดํŠธ ์ ˆ์—ฐ๋ง‰์œผ๋กœ ์ ˆ์—ฐ๋œ๋‹ค. ๋”ฐ๋ผ์„œ ๋“œ๋ ˆ์ธ-์†Œ์Šค ๊ฐ„๊ฒฉ์˜ ๊ฐ์†Œ์™€ ํ•จ๊ป˜ ์˜จ-์ €ํ•ญ์ด 2.91 mฮฉโ€ขcm2์—์„œ 2.28 mฮฉโ€ขcm2๋กœ ํฌ๊ฒŒ ๊ฐ์†Œํ•˜์˜€๋‹ค. ๊ฒŒ์ดํŠธ ์ ˆ์—ฐ๋ง‰์˜ ๋†’์€ ์œ ์ „์ƒ์ˆ˜์™€ AlGaN/GaN MOS-HEMTs์˜ ๋ฌธํ„ฑ์ „์••์˜ ์ ˆ๋Œ€๊ฐ’ ์ด์ƒ์˜ ์ ˆ์—ฐ๋ง‰ ํ•ญ๋ณต์ „์••์€ ์•ˆ์ •์ ์ธ ์˜จ/์˜คํ”„ ๋™์ž‘์„ ์œ„ํ•ด์„œ ํ•„์ˆ˜์ ์ธ ์š”์†Œ์ด๋‹ค. ๋”ฐ๋ผ์„œ, ์—ฐ์žฅ๋œ TaN ๊ฒŒ์ดํŠธ ๊ตฌ์กฐ๋ฅผ ํ†ตํ•ด 4.97ร—1010์˜ ๋†’์€ ์˜จ/์˜คํ”„ ์ „๋ฅ˜๋น„์™€ 872 MWโ€ขcmโˆ’2์˜ ์„ฑ๋Šฅ์ง€์ˆ˜, 1410 V์˜ ๋†’์€ ํ•ญ๋ณต์ „์••์„ ๋ณด์˜€๋‹ค. ๋งˆ์ง€๋ง‰์œผ๋กœ, ๋ณ„๋„์˜ ๋งˆ๊ฐ๊ตฌ์กฐ์˜ ์ ์šฉ ์—†์ด ๋†’์€ ํ•ญ๋ณต์ „์••์˜ ๊ตฌํ˜„์„ ์œ„ํ•œ ๋ฐฉ๋ฒ•์œผ๋กœ RF-์Šคํผํ„ฐ๋ง ๋ฐฉ์‹์„ ํ†ตํ•œ Ga2O3๊ณผ Al2O3 ๋ฐ•๋ง‰์˜ ์ ์šฉ์„ ์ œ์•ˆํ•˜์˜€๋‹ค. GaN ํ‘œ๋ฉด์œผ๋กœ์˜ ์Šคํผํ„ฐ๋ง ๋ฐ๋ฏธ์ง€์™€ AlGaN/GaN HEMTs์—์„œ์˜ ํ‘œ๋ฉด ๋ˆ„์„ค์ „๋ฅ˜๋ฅผ ์–ต์ œํ•˜๊ธฐ ์œ„ํ•˜์—ฌ ์Šคํผํ„ฐ๋ง ์กฐ๊ฑด์„ ์ตœ์ ํ™”ํ•˜์˜€๋‹ค. ๋น„์ •์งˆ Ga2O3 ๋‚ด๋ถ€์˜ ๊นŠ์€ ์—๋„ˆ์ง€๋ฅผ ๊ฐ–๋Š” ํŠธ๋žฉ์œผ๋กœ์˜ ์ „์ž์˜ ํŠธ๋ž˜ํ•‘์„ ํ™œ์šฉํ•จ์œผ๋กœ์จ ๊ฒŒ์ดํŠธ ํ•˜๋‹จ์˜ ๊ณตํ•์ธต์„ ํšจ๊ณผ์ ์œผ๋กœ ํ™•์žฅ์‹œํ‚ด์— ๋”ฐ๋ผ ํ•ญ๋ณต์ „์••์ด ํฌ๊ฒŒ ์ฆ๊ฐ€ํ•˜์˜€๋‹ค. ๊นŠ์€ ์—๋„ˆ์ง€๋ฅผ ๊ฐ–๋Š” ํŠธ๋žฉ์€ ์ƒ๋Œ€์ ์œผ๋กœ ๊ธด ์ „์ž์˜ ๋ฐฉ์ถœ ์‹œ๊ฐ„์„ ๋ณด์ด๋ฏ€๋กœ, ์–•์€ ์—๋„ˆ์ง€ ์ค€์œ„๋กœ ์ธํ•œ ํ‘œ๋ฉด ๋ˆ„์„ค์ „๋ฅ˜๊ฐ€ ํšจ๊ณผ์ ์œผ๋กœ ์–ต์ œ๋  ์ˆ˜ ์žˆ๋‹ค. ์ œ์ž‘๋œ AlGaN/GaN HEMTs-on-SiC์—์„œ Ga2O3 ํŒจ์‹œ๋ฐฐ์ด์…˜์„ 50, 100, 150, ๊ทธ๋ฆฌ๊ณ  200 W์—์„œ ์ง„ํ–‰ํ•˜์˜€์„ ๊ฒฝ์šฐ ํ•ญ๋ณต์ „์••์€ ๊ฐ๊ฐ 1430, 890, 820, ๊ทธ๋ฆฌ๊ณ  460 V๋กœ ์ธก์ •๋˜์—ˆ๋‹ค. ๋ฐ˜๋ฉด์— ํŒจ์‹œ๋ฒ ์ด์…˜์„ ํ•˜์ง€ ์•Š์€ ๊ธฐ๋ณธ ์†Œ์ž์˜ ๊ฒฝ์šฐ 520 V๋กœ ์ƒ๋Œ€์ ์œผ๋กœ ๋‚ฎ์€ ํ•ญ๋ณต์ „์••์ด ์ธก์ •๋˜์—ˆ๋‹ค. ๊ทธ๋ฆฌ๊ณ  50 W์—์„œ Ga2O3 ํŒจ์‹œ๋ฒ ์ด์…˜์„ ์ง„ํ–‰ํ•œ AlGaN/GaN HEMTs ์†Œ์ž๋Š” 40 ฮผm์˜ ๊ฒŒ์ดํŠธ-๋“œ๋ ˆ์ธ ๊ฐ„๊ฒฉ์—์„œ 2.7 kV์˜ ๋†’์€ ํ•ญ๋ณต์ „์••์ด ์ธก์ •๋˜์—ˆ๋‹ค. ๋˜ํ•œ, ๋”์šฑ ํšจ๊ณผ์ ์ธ ๋ˆ„์„ค์ „๋ฅ˜์˜ ์–ต์ œ์™€ ๋ฌธํ„ฑ์ „์••์˜ ์–‘์˜ ๋ฐฉํ–ฅ์œผ๋กœ์˜ ์ด๋™์„ ์œ„ํ•œ ๊ตฌ์กฐ๋กœ์„œ, RF-์Šคํผํ„ฐ๋ง์— ์˜ํ•œ Al2O3/Ga2O3 ๋‹ค์ธต๊ตฌ์กฐ๋ฅผ ์ ์šฉํ•œ AlGaN/GaN HEMTs๋ฅผ ์ œ์•ˆํ•˜์˜€๋‹ค. Al2O3/Ga2O3 ๋‹ค์ธต๊ตฌ์กฐ๋ฅผ ํ†ตํ•ด์„œ AlGaN/GaN HEMTs์˜ ํ•ญ๋ณต์ „์••์ด 380 V์—์„œ 1104 V๋กœ ์ฆ๊ฐ€ํ•˜์˜€์œผ๋ฉฐ ๋“œ๋ ˆ์ธ ๋ˆ„์„ค์ „๋ฅ˜๋Š” 1.8 ฮผA/mm์—์„œ 33 nA/mm๋กœ ๊ฐ์†Œํ•˜์˜€๋‹ค. ๋˜ํ•œ ๋ฌธํ„ฑ์ „์••์€ โ€“2 V์—์„œ โ€“1.4 V๋กœ ์ฆ๊ฐ€ํ•˜์˜€๊ณ  VGS= โ€“10 V๋ฅผ 100์ดˆ๋™์•ˆ DC ์ŠคํŠธ๋ ˆ์Šค ์ดํ›„ ๋ฌธํ„ฑ์ „์••์ด 0.12 V๋กœ ์ด๋™ํ•จ์„ ๊ฒƒ์„ ํ™•์ธํ•˜์˜€๋‹ค.This dissertation is intended as an investigation of AlGaN/GaN metal-oxide-semiconductors-high-electron-mobility transistors (MOS-HEMTs) employing RF-sputtered gate insulator to suppress leakage current and obtain high breakdown voltage. Also, material properties of RF-sputtered films and interface characteristics between GaN and gate insulator were studied. In addition, various device structures based on the MOS-HEMTs were proposed to improve devices performance and their electrical properties were verified. AlGaN/GaN HEMTs have received a considerable amount of attention for high-power applications due to their wide bandgap properties, such as a high critical electric field, a high thermal conductivity, and a low intrinsic carrier concentration. In addition, an AlGaN/GaN heterostructure offers high-density and high-mobility two-dimensional electron gas (2DEG) by piezoelectric polarization between AlGaN barrier and GaN buffer layer, meaning that AlGaN/GaN HEMTs exhibit a high breakdown voltage and a low on-resistance. However, the surface leakage current by an electron trapping and trap-assisted tunneling at the Schottky/GaN interface are critical issues in the AlGaN/GaN heterostructure devices. Suppression of the leakage current and high breakdown voltage are indubitably important to achieve a low off-state power loss and high-conversion efficiency without device failure. The MOS is suitable structure for the high-voltage AlGaN/GaN HEMTs because the gate insulator suppresses the leakage current and effectively prevent the parasitic diodes operation from gate-source and gate-drain SBDs. The RF-sputtered HfO2 was studied for uses in the gate insulator of the AlGaN/GaN MOS-HEMTs and sputtering conditions such as sputtering power and working pressure were optimized. The electrical and materials properties of HfO2 at the various sputtering conditions were verified by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and Auger electron spectroscopy (AES). Also, the effects of post-deposition annealing (PDA) on the HfO2 were investigated. The high breakdown voltage in the test pattern including 15 nm-thick HfO2 on p-type Si substrate was increased from 42 to 78 V after PDA at 900 oC for 2 hours. The AlGaN/GaN MOS-HEMT-on-Si using RF-sputtered HfO2 gate insulator exhibited the high breakdown voltage of 1524 V, the low drain leakage current of 67 pA/mm at VDS= 100 V and VGS= โ€“10 V, and high on/off current ratio of 2.37ร—1010 while the conventional HEMT had 470 V, 192 ฮผA/mm, and 7.61ร—103, respectively. The improvement mechanism of breakdown voltage through HfO2 gate insulator was studied by measuring various electrical characteristics. This was done with the separated two-factors including passivation effects and blocking capability of HfO2 gate insulator. Both forward- and reverse-gate blocking characteristics of the AlGaN/GaN MOS-HEMTs using HfO2 gate insulator were evaluated. In addition, suppression of electron trapping due to surface passivation was verified by pulsed current-voltage (I-V) characteristics and capacitance-voltage (C-V) characteristics. Finally, interface traps density (Dit) was evaluated by termans method and high-frequency C-V characteristics so that Dit of 6ร—1012 cmโ€“2โ€ขeVโ€“1 at the energy level of 0.1 eV below conduction energy minimum. Au-free fabrication is promising technologies for the CMOS-compatible process of the AlGaN/GaN devices. Also, it has an advantage in terms of the fabrication cost and large-wafer process. TaN was proposed to replace the gold-based electrodes in the AlGaN/GaN MOS-HEMTs-on-Si. The material and electrical properties were verified after PDA by XRD, scanning electron microscopy (SEM), and 4-point probe. Also, the sputtering conditions such as sputtering power and working pressure were optimized to obtain the low-resistance electrode and suppress sputtering damage to HfO2 gate insulator. The TaN-gate AlGaN/GaN MOS-HEMTs with 15 nm-thick HfO2 gate insulator showed high on/off current ratio of 4.56ร—1010 and high breakdown voltage of 1460 V at gate-drain distance of 10 ฮผm. Also, the fully Au-free devices using TaN-gate and Ti/Al/TaN-source/drain showed on/off current ratio of 2.0ร—109 without any considerable degradation. The extended-gate structure was proposed to reduce specific on-resistance (Ron,sp) without any additional GaN epitaxial growth and lithography techniques by removing the redundant gate-source space in the AlGaN/GaN MOS-HEMTs-on-Si. The extended TaN-gate overlapped source with 15 nm-thick HfO2 insulation. By using this structure, the Ron,sp was successfully reduced from 2.91 to 2.28 mฮฉโ€ขcm2 in the device with 10 ฮผm-long LGD. High-k characteristics and higher dielectric breakdown voltage of the HfO2 gate insulator thanVTHfacilitated the stable on/off switching. This device also exhibited high breakdown voltage of 1410 V, high on/off current ratio of 4.97ร—1010, and high figure-of-merit of 872 MWโ€ขcmโˆ’2. A new method to increase the breakdown voltage trough RF-sputtered Ga2O3 and Al2O3 films without any termination structure was proposed. The sputtering power considering sputtering damage to the GaN surface was optimized to suppress the leakage current. An electron injection into the unintentionally formed deep traps in the amorphous ฮฒ-Ga2O3 films extended depletion region under the gate and increased the breakdown voltage. The deep traps have a relatively long emission time so that the surface leakage current, which is originated from the shallow traps, would be suppressed. The AlGaN/GaN HEMT-on-SiC with 20 ฮผm-long LGD and Ga2O3 passivation sputtered at 50, 100, 150, and 200 W exhibited breakdown voltage of 1430, 890, 820, and 460 V, respectively while that of the unpassivated device was 520 V. Also, high breakdown voltage exceeding 2.7 kV at sputtering power of 50 W and 40 ฮผm-long LGD was obtained. In addition, Al2O3/Ga2O3 multiple stacks by RF-sputtering were employed to reduce the leakage current and shift threshold voltage positively in the AlGaN/GaN HEMTs-on-Si. The breakdown voltage in the device using the stacks was increased from 380 to 1104 V and drain leakage current was decreased from 1.8 ฮผA/mm to 33 nA/mm by the electrons accumulation in the stacks. The threshold voltage was shifted from โ€“2 to โ€“1.4 V and this was shifted to 0.12 V after DC stress at VGS= โ€“10 V for 100 s.Abstract List of Tables List of Figures 1.Introduction 2.Review of AlGaN/GaN Power Devices 3.AlGaN/GaN MOS-HEMTs Employing HfO2 Gate Insulator 4.TaN-Based Electrodes for Au-Free AlGaN/GaN MOS-HEMTs 5.High-Voltage Technologies Employing RF-Sputtered Ga2O3-Based Thin Films 6.Conclusion Bibliography Abstract in KoreanDocto

    Advanced GaN HEMT technology for millimetre-wave amplifiers

    Get PDF
    Gallium Nitride (GaN)-based High-Electron-Mobility Transistor (HEMT) technology is a breakthrough innovation in the semiconductor industry, offering high-frequency and high-power performance capabilities. GaN HEMTs are widely used in power electronics, wireless communication systems, and radar applications over the past two decades. The key advantages of GaN HEMTs to produce heterojunctions to larger bandgap materials Aluminium Gallium Nitride (AlGaN) and the heterostructure results in the formation of the 2- dimensional electron gas (2DEG) which exhibits high electron mobilities of upto 2000 cmยฒ/V.s and high saturation velocity of 2ร—10โท cm/s, resulting in high switching speeds and power densities. Due to its wide bandgap of 3.4 eV, it also allows exceptionally high breakdown fields of 3.3 MV/cm. In this thesis, the focus is on the major challenges in the development of GaN HEMT technology including achieving a low resistance ohmic contact, reducing self-heating, and improving device high frequency performance. Due to the wide bandgap of III-nitride semiconductors, achieving low-resistance Ohmic contact resistance is difficult. Recessing the Ohmic region prior to metallization is a typical approach to lowering the contact resistance. The contact resistance is often minimised by optimising factors such as recess depth, anneal temperature, and metal stack design. In this work, the three approaches involving the recessing of the ohmic region were evaluated. The Ohmic contact area was recessed in patterns similar to a chess board, vertical recessed stripes, and horizontal recessed strips. The two different recess etch depths, shallow and deep etch depths of 9 nm and 30 nm, respectively, were investigate. The lowest contact resistance of 0.32 ฮฉ.mm (compared to 0.59 ฮฉ.mm for a conventional non-recessed Ohmic contact) was observed for a deep horizontal patterned structure. The results also indicate that a highly reproducible process. The other major issue to address was to reduce the impact of device self-heating by effective heat distribution and dissipation. A novel thermal management technique was proposed, and the preliminary results are promising. It exploits the very thin epitaxial layer stack of a buffer-less GaN-on-SiC HEMT structure. III-V nitride material is etched and removed from around the active device area and the Au bond pad electrodes sit directly on the SiC substrate, providing a route for thermal dissipation from the active device to the substrate. This approach was demonstrated to reduce device self-heating and to improve the current density of the device. We fabricated and compared the performance of devices fabricated on the buffer-free and conventional GaN HEMTs. For identically sized 2-ฮผm gate long, two-finger 2 ร— 50 ฮผm gate width device with a gate to drain spacing of 3 m, the conventional devices broke down at 186 V while for the buffer-free structure, it was over 200 V (above the measurement capability of our equipment). The maximum drain current density of ~631 mA/mm and ~ 686 mA/mm biased at VGS = 1 V for the two-finger 2 ร— 50 ฮผm gate wide for buffer free and conventional GaN structure, respectively. The buffer free and conventional GaN structure devices were measured to determine their maximum cut-off frequency (fT) and maximum oscillation frequency (fmax) when biased at VDS = 15V. The lower gate leakage currents were observed for the fabricated buffer-free AlGaN/GaN HEMT device as compared to conventional GaN HEMTs 197ฮผA and 260ฮผA, respectively. Also, the buffer free device, which had two fingers each measuring 2x200 ฮผm, yielded measurements of 4.6 GHz for fT and 9.8 GHz for fmax. The conventional GaN device, also with two fingers each measuring 2x200 ฮผm, was tested and resulted in measurements of 6.3 GHz for fT and 14.7 GHz for fmax. These results demonstrate the high quality of the buffer-free GaN heterostructure despite the absence of thick transition layers as currently used in the conventional GaN HEMTs. This indicates that the "buffer-free" design has the potential to be useful for millimetre wave applications in the future. This thesis also describes the fabrication and characterisation of a 100 nm footprint Ni/Au-based T-gate HEMT, 2x25 ฮผm gate width, 1.5 ฮผm drain source spacing, 100nm Siโ‚ƒNโ‚„ passivation layer thickness and device exhibit quite high peak currents of 805mA/mm and peak transconductance value of 246 mS/mm due to the low thermal boundary resistance on this buffer free epilayer wafer. The breakdown voltage was measured 47 Volts. Yielding a cut-off frequency fT of 87 GHz and maximum oscillation frequency fโ‚˜โ‚โ‚“ of 143 GHz. We have developed a method for fabricating a T-shaped gate for sub 100nm gate foot length. The 100 nm length results in robustness, repeatable and has a high yield. Our findings indicate that this gate design could be beneficial for AlGaN/GaN buffer-free HEMTs used in millimetre wave frequency applications

    Reliability Characterisation of III-Nitrides Based Devices for Technology Development

    Get PDF
    III-nitrides based devices are considered as outstanding options for a range of extremely relevant applications. These devices can significantly improve the efficiency of high-power switching appliations. They are predicted to dominate applications in the low carbon economy. In recent years, these devices have been steadily improved and each year new record performances have been reported. Regardless of the superior performance of III-nitrides based devices, and particularly AlGaN/GaN high electron mobility transistors (HEMTs), achieving reliability at the same time as the high performance that the device boasts is a factor that is holding back widespread commercial and industrial development. Recoverable degradation (e.g. current collapse and on-resistance) and unrecoverable degradation (e.g. access resistance of contacts, and gate leakage current) persist to be limiting reliability factors. The mechanisms contributing towards performance and reliability degradation of AlGaN/GaN HEMTs, namely self-heating, charge trapping and strain, are required to be minimised; an important step before large-scale deployment can be attained. The strong coupling of these degradation mechanisms, under normal device operation, makes the quantitative contribution of each mechanism indistinct due to the lack of standard characterisation techniques. In this Thesis, the impact of the source/drain (S/D) and gate terminals of an AlGaN/GaN HEMT on its thermal management was investigated. Using Infrascope measurements, a substantial increase in temperature and resistance at the inner ends of the S/D contacts was observed. High-resolution X-ray diffraction technique combined with drift-diffusion (DD) simulations showed that strain reduction at the vicinity of S/D contacts is the origin of temperature rise. The strain reduction was also observed below the metal gate. Through electro-thermal simulations, the electrical stress on Ohmic contacts was shown to reduce the strain; leading to the inverse/converse piezoelectric effect. A new parametric technique was developed to decouple the mechanisms constituting device degradation in AlGaN/GaN HEMTs under normal device operation, namely self-heating and charge trapping. Both source (IS) and drain (ID) transient currents were used under various biasing conditions to analyse charge trapping behaviour. Two types of charge trapping mechanisms have been identified: (i) bulk trapping occurring on a time scale of 1 ms. Through monitoring the difference between I_S and I_D, bulk trapping time constant is shown to be independent of V_DS and V_GS. Also, V_GS is found to have no effect on the bulk trap density. Surface trapping is found to have a much greater impact on slow degradation when compared to self-heating and bulk trapping. At a short time scale (1ms), the dynamic ON resistance degradation is limited mainly by surface trapping accumulation and redistribution. Using the understanding of the degradation mechanism behaviour and origins, optimisations to the Ohmic and Schottky contacts as well as a new AlGaN/GaN HEMT architecture were proposed. In an attempt to improve the thermal management of S/D contacts, an Ohmic contact recess process is proposed to reduce the access resistance and enhance DC/RF performance of AlGaN/GaN HEMTs with a high Al concentration. A contact resistance (RC) of ~0.3 โ„ฆ.mm was achieved via optimal recess conditions. Small RC was found to lead to a higher current density at the inner edges of the contact, which resulted in a large increase of channel temperature beneath the S/D contacts. A highly n-doped AlGaN overgrowth layer was proposed to reduce the current density, and thus channel temperature at the Ohmic contacts. Titanium Nitride (TiN) Schottky processing was implemented to minimise the observed strain reduction beneath the gate metal. The optimal Schottky contact is obtained for TiN thicknesses of < 10 nm, which preserves the strain within the AlGaN barrier layer. As a result, Schottky barrier of 1.06 eV, a leakage current of 6 nA and improved linearity of 1.6 was achieved. In addition, C โ€“ V and I โ€“ V characterisations revealed very low trapping density within the optimised device. Lastly, a new device architecture was proposed to increase the 2-dimentional electron gas (2DEG) density and mobility, without compromising the enhancements of our proposed S/D and gate optimisations. This structure consists of (i) step-graded AlGaN barrier layer to increase strain and (ii) implementing AlN as the interfacial spacer layer
    • โ€ฆ
    corecore