1,558 research outputs found

    Embedded 5V-to-3.3V Voltage Regulator for Supplying Digital ICs in 3.3V CMOS Technology

    Get PDF
    A fully integrated 5 V-to-3.3 V supply voltage regulator for application in digital IC's has been designed in a 3.3 V 0.5 ฮผm CMOS process. The regulator is able to deliver peak current transients of 300 mA, while the output voltage remains within a margin of 10% around the nominal value. The circuit draw's a static quiescent current of 750 ฮผA during normal operation, and includes a power-down mode with only 10 ฮผA current consumption. The die area is 1 mm2, and can be scaled proportional to the maximum peak current. Special precautions have been taken to allow 5 V in the 3.3 V process

    Implantable Wireless Sensor Networks: Application to Measuring Temperature for In Vivo Detection of Infections

    Get PDF
    It is has been proven that infection in the body cause a local temperature increase due to localized inflammation. Therefore, a method to provide early diagnostic or long-term tracking of this infection will provide great benefits to patients with diabetic foot ulcers or sickle cell disease, and those receiving hemodialysis where they suffer from a weakened immune system. The goal of this project is to develop an implantable wireless temperature sensor based on a wireless sensor network system for monitoring infections in situ. The analog signals from the thermistors are digitized and wirelessly transmitted to a computer with an ez430-rf2500 wireless sensor network (Texas Instruments). The sensor device is designed to monitor temperature at a fixation plate of a rodent under an infection model. Two prototypes of the system, T1 and T2, were designed and fabricated during this work. The sensors displayed good sensitivity, stability and reliability during the testing. The system was optimized for better timing accuracy to allow power management. Such a sensor could be used for long term monitoring of infections associated with orthopedic implants

    An external capacitor-less low-dropout voltage regulator using a transconductance amplifier

    Get PDF
    This paper presents an external capacitor-less NMOS low-dropout (LDO) voltage regulator integrated with a standard CSMC 0.6 ฮผm BiCMOS technology. Over a -55 โˆ˜C to +125 โˆ˜C temperature range, the fabricated LDO provides a stable and considerable amount of 3 A output current over wide ranges of output capacitance COUT (from zero to hundreds of ฮผF ) and effective-series-resistance (ESR) (from tens of milliohms to several ohms). A low dropout voltage of 200 mV has been realised by accurate modelling. Operating with an input voltage ranging from 2.2 V to 5.5 V provides a scalable output voltage from 0.8 V to 3.6 V. When the load current jumps from 100 mA to 3 A within 3 ฮผs, the output voltage overshoot remains as low as 50 mV without output capacitance, COUT. The system bandwidth is about 2 MHz, and hardly changes with load altering to ensure system stability. To improve the load transient response and driving capacity of the NMOS power transistor, a buffer with high input impedance and low output impedance is applied between the transconductance amplifier and the NMOS power transistor. The total area of fabricated LDO voltage regulator chip including pads is 2.1 mmร—2.2 mm

    Analysis on Supercapacitor Assisted Low Dropout (SCALDO) Regulators

    Get PDF
    State-of-the-art electronic systems employ three fundamental techniques for DC-DC converters: (a) switch-mode power supplies (SMPS); (b) linear power supplies; (c) switched capacitor (charge pump) converters. In practical systems, these three techniques are mixed to provide a complex, but elegant, overall solution, with energy efficiency, effective PCB footprint, noise and transient performance to suit different electronic circuit blocks. Switching regulators have relatively high end-to-end efficiency, in the range of 70 to 93%, but can have issues with output noise and EMI/RFI emissions. Switched capacitor converters use a set of capacitors for energy storage and conversion. In general, linear regulators have low efficiencies in the range 30 to 60%. However, they have outstanding output characteristics such as low noise, excellent transient response to load current fluctuations, design simplicity and low cost design which are far superior to SMPS. Given the complex situation in switch-mode converters, low dropout (LDO) regulators were introduced to address the equirements of noise-sensitive and fast transient loads in portable devices. A typical commercial off-the-shelf LDO has its input voltage slightly higher than the desired regulated output for optimal efficiency. The approximate efficiency of a linear regulator, if the power consumed by the control circuits is negligible, can be expressed by the ratio of Vo/Vin. A very low frequency supercapacitor circulation technique can be combined with commercial low dropout regulator ICs to significantly increase the end-to-end efficiency by a multiplication factor in the range of 1.33 to 3, compared to the efficiency of a linear regulator circuit with the same input-output voltages. In this patented supercapacitor-assisted low dropout (SCALDO) regulator technique developed by a research team at the University of Waikato, supercapacitors are used as lossless voltage droppers, and the energy reuse occurs at very low frequencies in the range of less than ten hertz, eliminating RFI/EMI concerns. This SCALDO technique opens up a new approach to design step-down, DC-DC converters suitable for processor power supplies with very high end-to-end efficiency which is closer to the efficiencies of practical switching regulators, while maintaining the superior output specifications of a linear design. Furthermore, it is important to emphasize that the SCALDO technique is not a variation of well-known switched capacitor DC-DC converters. In this thesis, the basic SCALDO concept is further developed to achieve generalised topologies, with the relevant theory that can be applied to a converter with any input-output step-down voltage combination. For these generalised topologies, some important design parameters, such as the number of supercapacitors, switching matrix details and efficiency improvement factors, are derived to form the basis of designing SCALDO regulators. With the availability of commercial LDO ICs with output current ratings up to 10 A, and thin-prole supercapacitors with DC voltage ratings from 2.3 to 5.5 V, several practically useful, medium-current SCALDO prototypes: 12V-to-5V, 5V-to-2V, 5.5V-to-3.3V have been developed. Experimental studies were carried out on these SCALDO prototypes to quantify performance in terms of line regulation, load regulation, efficiency and transient response. In order to accurately predict the performance and associated waveforms of the individual phases (charge, discharge and transition) of the SCALDO regulator, Laplace transform-based theory for supercapacitor circulation is developed, and analytical predictions are compared with experimental measurements for a 12V-to-5V prototype. The analytical results tallied well with the practical waveforms observed in a 12V-to-5V converter, indicating that the SCALDO technique can be generalized to other versatile configurations, and confirming that the simplified assumptions used to describe the circuit elements are reasonable and justifiable. After analysing the performance of several SCALDO prototypes, some practical issues in designing SCALDO regulators have been identified. These relate to power losses and implications for future development of the SCALDO design

    Efficiency Improvement of LDO Output Based Linear Regulator With Supercapacitor Energy Recovery โ€“ A versatile new technique with an example of a 5V to 1.5V version

    Get PDF
    Supercapacitors are used in various industrial applications and the supercapacitors technology is gradually progressing into a mature state. Common applications of supercapacitors are in electric vehicles, hybrid electric vehicles, uninterruptible power supply (UPS) and in portable devices such as cellular phones and laptops. The capacitance values range from fractional Farads to few thousand Farads and their continuos DC voltage ratings are from 2V to 6V. At University of Waikato, a team works on using supercapacitors for improving the efficiency of linear voltage regulators. In particular, this patented technique aims at combining off the shelfs LDO ICs and a supercapacitor array for improving end to end efficiency of linear regulator. My work is aimed at developing the theoretical background and designing prototype circuitry for a voltage regulator for the case of unregulated input supply is more than 3 times of the minimum input voltage requirement of the LDO which is applicable for a 5V to 1.5V regulator. Experimental results are indicated with future suggestions for improvement

    Critical electrical aspects of alternating-current power source for Centaur space vehicle

    Get PDF
    Reliability of silicon rectifiers as inverters for alternating current power source for Atlas Centaur launch vehicle

    Improved Accuracy Area Efficient Hybrid CMOS/GaN DC-DC Buck Converterfor High Step-Down Ratio Applications

    Get PDF
    abstract: Point of Load (POL) DC-DC converters are increasingly used in space applications, data centres, electric vehicles, portable computers and devices and medical electronics. Heavy computing and processing capabilities of the modern devices have ushered the use of higher battery supply voltage to increase power storage. The need to address this consumer experience driven requirement has propelled the evolution of the next generation of small form-factor power converters which can operate with higher step down ratios while supplying heavy continuous load currents without sacrificing efficiency. Constant On-Time (COT) converter topology is capable of achieving stable operation at high conversion ratio with minimum off-chip components and small silicon area. This work proposes a Constant On-Time buck dc-dc converter for a wide dynamic input range and load currents from 100mA to 10A. Accuracy of this ripple based converter is improved by a unique voltage positioning technique which modulates the reference voltage to lower the average ripple profile close to the nominal output. Adaptive On-time block features a transient enhancement scheme to assist in faster voltage droop recovery when the output voltage dips below a defined threshold. UtilizingGallium Nitride (GaN) power switches enable the proposed converter to achieve very high efficiency while using smaller size inductor-capacitor (LC) power-stage. Use of novel Superjunction devices with higher drain-source blocking voltage simplifies the complex driver design and enables faster frequency of operation. It allows 1.8VComplementary Metal-Oxide Semiconductor (CMOS) devices to effectively drive GaNpower FETs which require 5V gate signal swing. The presented controller circuit uses internal ripple generation which reduces reliance on output cap equivalent series resistance (ESR) for loop stability and facilitates ripples reduction at the output. The ripple generation network is designed to provide ai optimally stable performance while maintaining load regulation and line regulation accuracy withing specified margin. The chip with ts external Power FET package is proposed to be integrated on a printed circuit board for testing. The designed power converter is expected to operate under 200 MRad of a total ionising dose of radiation enabling it to function within large hadron collider at CERN and space satellite and probe missions.Dissertation/ThesisMasters Thesis Electrical Engineering 201

    ๋ฉ”๋ชจ๋ฆฌ ์–ดํ”Œ๋ฆฌ์ผ€์ด์…˜์„ ์œ„ํ•œ ๋น ๋ฅธ ๊ณผ๋„ ์‘๋‹ต ์„ฑ๋Šฅ์„ ๊ฐ€์ง€๋Š” ๋””์ง€ํ„ธ ๋‚ฎ์€ ๋“œ๋กญ์•„์›ƒ ๋ ˆ๊ทค๋ ˆ์ดํ„ฐ ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2023. 2. ์ •๋•๊ท .In this dissertation, the design of a fast transient response digital low-dropout regulator (DLDO) applicable to next-generation memory systems is discussed. Recent technologies in memory systems mainly aim at high power density and fast data rate. Accordingly, the need for a power converter withstanding a large amount of load current change in a short period is increased. Accordingly, a solution for compensating for a voltage drop that causes significant damage to a memory data input/output is searched according to a periodic clock signal. With this situation, two structures that achieve fast transient response performance under the constraints of memory systems are proposed. To mitigate the transient response degradation under slow external clock conditions, an adaptive two-step search algorithm with event-driven approaches DLDO is proposed. The technique solves the limitations of loop operation time dependent on slow external clocks through a ring-amplifier-based continuous-time comparator. Also, shift register is designed as a circular structure with centralized control of each register to reduce the cost. Finally, the remaining regulation error is controlled by an adaptive successive approximation algorithm to minimize the settling time. Fast recovery and settling time are shown through the measurement of the prototype chip implemented by the 40-nm CMOS process. Next, a digital low dropout regulator for ultra-fast transient response is designed. A slope-detector-based coarse controller to detect, compensate, and correct load current changes occurring at every rising or falling edge of tens to hundreds of megahertz clocks is proposed. Compensation efficiency is increased by the method according to the degree of change in load voltage over time. Furthermore, the LUT-based shift register enables the fast loop response speed of the DLDO. Finally, a bidirectional latch-based driver with fast settling speed and high resolution are proposed. The prototype chip is implemented with a 40-nm CMOS process and achieves effective load voltage recovery through fast transient response performance even with low load capacitance.๋ณธ ๋…ผ๋ฌธ์€ ์ฐจ์„ธ๋Œ€ ๋ฉ”๋ชจ๋ฆฌ ์‹œ์Šคํ…œ์— ์ ์šฉ ๊ฐ€๋Šฅํ•œ ๋น ๋ฅธ ๊ณผ๋„ ์‘๋‹ต ์„ฑ๋Šฅ์„ ๊ฐ€์ง€๋Š” ๋””์ง€ํƒˆ ๋‚ฎ์€ ๋“œ๋กญ์•„์›ƒ ๋ ˆ๊ทค๋ ˆ์ดํ„ฐ์˜ ์„ค๊ณ„์— ๋Œ€ํ•ด ๊ธฐ์ˆ ํ•œ๋‹ค. ๋ฉ”๋ชจ๋ฆฌ ์‹œ์Šคํ…œ์˜ ์ตœ๊ทผ ๊ธฐ์ˆ ๋“ค์€ ๋†’์€ ์ „๋ ฅ ๋ฐ€๋„์™€ ๋น ๋ฅธ ๋ฐ์ดํ„ฐ ์†๋„๋ฅผ ์ฃผ๋œ ๋ชฉํ‘œ๋กœ ํ•˜๋ฉฐ ์ด์— ๋งž์ถ”์–ด ๋‹จ๊ธฐ๊ฐ„, ๋งŽ์€ ์–‘์˜ ๋ถ€ํ•˜ ์ „๋ฅ˜ ๋ณ€ํ™”๋ฅผ ๊ฒฌ๋””๋Š” ํŒŒ์›Œ ์ปจ๋ฒ„ํ„ฐ์˜ ํ•„์š”์„ฑ์ด ๋†’์•„์ง€๊ณ  ์žˆ๋‹ค. ์ด์— ์ฃผ๊ธฐ์ ์ธ ํด๋ฝ ์‹ ํ˜ธ์— ๋”ฐ๋ผ ๋ฉ”๋ชจ๋ฆฌ ๋ฐ์ดํ„ฐ ์ž…์ถœ๋ ฅ์— ์œ ์˜๋ฏธํ•œ ์†์ƒ์„ ๋ฐœ์ƒ์‹œํ‚ค๋Š” ์ „์•• ๊ฐ•ํ•˜๋ฅผ ๋ณด์ƒํ•˜๋Š” ํ•ด๊ฒฐ ๋ฐฉ์•ˆ์„ ํƒ์ƒ‰ํ•œ๋‹ค. ์ด๋ฅผ ํ†ตํ•ด ๋ฉ”๋ชจ๋ฆฌ ์‹œ์Šคํ…œ์ด ๊ฐ€์ง€๋Š” ์ œ์•ฝ์กฐ๊ฑด ํ•˜์—์„œ ๋น ๋ฅธ ๊ณผ๋„ ์‘๋‹ต ์„ฑ๋Šฅ์„ ๋‹ฌ์„ฑํ•˜๋Š” ๋‘ ๊ฐ€์ง€ ๊ตฌ์กฐ๋ฅผ ์ œ์•ˆํ•œ๋‹ค. ์ฒซ ๋ฒˆ์งธ ์‹œ์—ฐ์œผ๋กœ์„œ, ๋Š๋ฆฐ ์™ธ๋ถ€ ํด๋ฝ ์กฐ๊ฑด์—์„œ ์œ ๋ฐœ๋˜๋Š” ๋””์ง€ํƒˆ ๋‚ฎ์€ ๋“œ๋กญ์•„์›ƒ ๋ ˆ๊ทค๋ ˆ์ดํ„ฐ์˜ ๊ณผ๋„ ์‘๋‹ต ์„ฑ๋Šฅ ์ €ํ•˜๋ฅผ ์™„ํ™”์‹œํ‚ค๊ธฐ ์œ„ํ•œ ์ด๋ฒคํŠธ ์ฃผ๋„ ๋ฐฉ์‹์˜ ์ ์‘ํ˜• ๋‘ ๋‹จ๊ณ„ ์„œ์น˜ ๊ธฐ์ˆ ์„ ์ œ์•ˆํ•œ๋‹ค. ๋ณธ ๊ธฐ์ˆ ์€ ๋Š๋ฆฐ ์™ธ๋ถ€ํด๋ฝ์— ์˜์กดํ•œ ๋ฃจํ”„ ๋™์ž‘ ์‹œ๊ฐ„์˜ ํ•œ๊ณ„๋ฅผ ๊ณ ๋ฆฌ ์ฆํญ๊ธฐ ๊ธฐ๋ฐ˜ ์—ฐ์† ์‹œ๊ฐ„ ๋น„๊ต๊ธฐ๋ฅผ ํ†ตํ•ด ํ•ด๊ฒฐํ•œ๋‹ค. ๋˜ํ•œ ์ž๋ฆฌ ์ด๋™ ๋ ˆ์ง€์Šคํ„ฐ์˜ ๊ตฌํ˜„์— ์†Œ๋ชจ๋˜๋Š” ๋น„์šฉ์„ ์ค„์ด๊ณ ์ž ๊ฐ ๋ ˆ์ง€์Šคํ„ฐ์˜ ์ œ์–ด ์žฅ์น˜๋ฅผ ์ค‘์•™์œผ๋กœ ์ง‘์ ์‹œํ‚จ ์ˆœํ™˜ํ˜• ๊ตฌ์กฐ๋กœ ์„ค๊ณ„๋˜์—ˆ๋‹ค. ๋งˆ์ง€๋ง‰์œผ๋กœ ๋‚จ์•„์žˆ๋Š” ์กฐ์ • ์—๋Ÿฌ๋Š” ์ ์‘๋ฐฉ์‹์˜ ์ถ•์ฐจ ๋น„๊ตํ˜• ์•Œ๊ณ ๋ฆฌ์ฆ˜์œผ๋กœ ์ œ์–ดํ•˜์—ฌ ๊ต์ •์— ํ•„์š”ํ•œ ์‹œ๊ฐ„์„ ์ตœ์†Œํ™”ํ•˜์˜€๋‹ค. 40-nm CMOS ๊ณต์ •์œผ๋กœ ๊ตฌํ˜„๋œ ํ”„๋กœํ† ํƒ€์ž… ์นฉ์˜ ์ธก์ •์„ ํ†ตํ•ด ๋ถ€ํ•˜ ์ „์••์˜ ๋น ๋ฅธ ํšŒ๋ณต ์†๋„์™€ ์ •์ •์‹œ๊ฐ„์„ ๋ณด์ž„์„ ํ™•์ธํ•˜์˜€๋‹ค. ๋‘ ๋ฒˆ์งธ ์‹œ์—ฐ์œผ๋กœ์„œ, ์ดˆ๊ณ ์† ๊ณผ๋„ ์‘๋‹ต ํ™˜๊ฒฝ์— ์ ํ•ฉํ•œ ๋””์ง€ํ„ธ ๋‚ฎ์€ ๋“œ๋กญ์•„์›ƒ ๋ ˆ๊ทค๋ ˆ์ดํ„ฐ๊ฐ€ ์„ค๊ณ„๋˜์—ˆ๋‹ค. ์ˆ˜์‹ญ~์ˆ˜๋ฐฑ ๋ฉ”๊ฐ€ํ—ค๋ฅด์ฏ” ํด๋ฝ์˜ ์ƒ์Šน ๋˜๋Š” ํ•˜๊ฐ• ์—ฃ์ง€๋งˆ๋‹ค ๋ฐœ์ƒํ•˜๋Š” ๋ถ€ํ•˜ ์ „๋ฅ˜ ๋ณ€ํ™”๋ฅผ ํƒ์ง€ํ•˜๊ณ  ๋ณด์ƒํ•˜๊ณ  ์ •์ •ํ•˜๊ธฐ ์œ„ํ•ด ๊ธฐ์šธ๊ธฐ ํƒ์ง€๊ธฐ ๊ธฐ๋ฐ˜ coarse ์ œ์–ด๊ธฐ ๊ธฐ์ˆ ์„ ์ œ์•ˆํ•œ๋‹ค. ์‹œ๊ฐ„์— ๋”ฐ๋ฅธ ๋ถ€ํ•˜ ์ „์•• ๋ณ€ํ™”์˜ ์ •๋„์— ๋”ฐ๋ผ ์ฐจ๋“ฑ ๋ณด์ƒํ•˜๋Š” ์•Œ๊ณ ๋ฆฌ์ฆ˜์„ ์ ์šฉํ•จ์œผ๋กœ์จ ๋ณด์ƒ ํšจ์œจ์„ ๋†’์˜€๋‹ค. ๋‚˜์•„๊ฐ€ ์ˆœ๋žŒํ‘œ ๊ธฐ๋ฐ˜ ์ž๋ฆฌ์ด๋™ ๋ ˆ์ง€์Šคํ„ฐ๋Š” ๋ถ€ํ•˜ ์ „๋ฅ˜ ๊ณผ๋„ ์ƒํƒœ ์ดํ›„ ๋””์ง€ํƒˆ ๋ ˆ๊ทค๋ ˆ์ดํ„ฐ์˜ ๋น ๋ฅธ ๋ฃจํ”„ ์‘๋‹ต ์†๋„๋ฅผ ๊ฐ€๋Šฅ์ผ€ ํ•˜์˜€๋‹ค. ๋งˆ์ง€๋ง‰์œผ๋กœ ๋‚จ์€ ์กฐ์ • ์—๋Ÿฌ๋ฅผ ์ œ์–ดํ•˜๋Š”๋ฐ ์žˆ์–ด์„œ ๊ธฐ์กด ์ž๋ฆฌ์ด๋™ ๋ ˆ์ง€์Šคํ„ฐ ๋ฐฉ์‹์—์„œ ๋ฒ—์–ด๋‚˜ ๋น ๋ฅธ ์ˆ˜๋ ด ์†๋„์™€ ๋†’์€ ํ•ด์ƒ๋„๋ฅผ ๊ฐ€์ง€๋Š” ์–‘๋ฐฉํ–ฅ ๋ž˜์น˜ ๊ธฐ๋ฐ˜ ๋“œ๋ผ์ด๋ฒ„๊ฐ€ ์ œ์•ˆ๋˜์—ˆ๋‹ค. ํ•ด๋‹น ํ”„๋กœํ† ํƒ€์ž… ์นฉ์€ 40-nm CMOS ๊ณต์ •์œผ๋กœ ๊ตฌํ˜„๋˜์—ˆ์œผ๋ฉฐ, ๋‚ฎ์€ ๋ถ€ํ•˜ ์ถ•์ „์šฉ๋Ÿ‰์—๋„ ๋น ๋ฅธ ๊ณผ๋„ ์‘๋‹ต ์„ฑ๋Šฅ์„ ํ†ตํ•ด ํšจ๊ณผ์ ์ธ ๋ถ€ํ•˜ ์ „์•• ํšŒ๋ณต์„ ์ด๋ฃจ์–ด ๋‚ด์—ˆ๋‹ค.CHAPTER 1 INTRODUCTION 1 1.1 MOTIVATION 1 1.2 VARIOUS TYPES OF LDO 4 1.2.1 ANALOG LDO VS. DIGITAL LDO 4 1.2.2 CAP LDO VS. CAP-LESS LDO 6 1.3 THESIS ORGANIZATION 8 CHAPTER 2 BACKGROUNDS ON DIGITAL LOW-DROPOUT REGULATOR 9 2.1 BASIC DIGITAL LOW-DROPOUT REGULATOR 9 2.2 FAST TRANSIENT RESPONSE LOW-DROPOUT REGULATOR 12 2.2.1 RESPONSE TIME 13 2.2.1 SETTLING TIME 20 2.3 VARIOUS METHODS FOR IMPLEMENT FAST TRANSIENT DIGITAL LDO 21 2.3.1 EVENT-DRIVEN DIGITAL LDO 21 2.3.2 FEEDFORWARD CONTROL 23 2.3.3 COMPUTATIONAL DIGITAL LDO 25 2.4 DESIGN POINTS OF FAST TRANSIENT RESPONSE DIGITAL LDO 27 CHAPTER 3 A FAST DROOP-RECOVERY EVENT-DRIVEN DIGITAL LDO WITH ADAPTIVE LINEAR/BINARY TWO-STEP SEARCH FOR VOLTAGE REGULATION IN ADVANCED MEMORY 29 3.1 OVERVIEW 29 3.2 PROPOSED DIGITAL LDO 32 3.2.1 MOTIVATION 32 3.2.2 ALSC WITH TWO-DIMENSIONAL CIRCULAR SHIFTING REGISTER 36 3.2.3 SBSC WITH SUBRANGE SUCCESSIVE-APPROXIMATION REGISTER 39 3.2.4 STABILITY ANALYSIS 41 3.3 CIRCUIT IMPLEMENTATION 44 3.3.1 TIME-INTERLEAVED RING-AMPLIFIER-BASED COMPARATOR 44 3.3.2 ASYNCHRONOUS 2D CIRCULAR SHIFTING REGISTER 49 3.3.3 SUBRANGE SUCCESSIVE APPROXIMATION REGISTER 51 3.4 MESUREMENT RESULTS 54 CHAPTER 4 A FAST TRANSIENT RESPONSE DIGITAL LOW-DROPOUT REGULATOR WITH SLOPE-DETECTOR-BASED MULTI-STEP CONTROL FOR DIGITAL LOAD APPLICATION 62 4.1 OVERVIEW 62 4.2 PROPOSED DIGITAL LDO 64 4.2.1 MOTIVATION 64 4.2.2 ARCHITECTURE OF DIGITAL LDO 66 4.2.3 SLEW-RATE DEPENDENT COARSE-CONTROL LOOP 69 4.2.4 FINE-CONTROL LOOP 72 4.2.5 CONTROL FOR LOAD-TRANSIENT RESPONSE 74 4.3 CIRCUIT IMPLEMENTATION 77 4.3.1 COMPARATOR-TRIGGERED OSCILLATOR DESIGN 77 4.3.2 SLOPE DETECTOR DESIGN 81 4.3.3 LUT-BASED SHIFT REGISTER DESIGN 84 4.3.4 BI-DIRECTIONAL LATCH-BASED DRIVER DESIGN 86 4.4 MEASUREMENT(SIMULATION) RESULTS 90 CHAPTER 5 CONCLUSION 95 BIBLIOGRAPHY 97 ์ดˆ ๋ก 109๋ฐ•

    Comprehensive Designs of Innovate Secure Hardware Devices against Machine Learning Attacks and Power Analysis Attacks

    Get PDF
    Hardware security is an innovate subject oriented from growing demands of cybersecurity and new information vulnerabilities from physical leakages on hardware devices. However, the mainstream of hardware manufacturing industry is still taking benefits of products and the performance of chips as priority, restricting the design of hardware secure countermeasures under a compromise to a finite expense of overheads. Consider the development trend of hardware industries and state-of-the-art researches of architecture designs, this dissertation proposes some new physical unclonable function (PUF) designs as countermeasures to side-channel attacks (SCA) and machine learning (ML) attacks simultaneously. Except for the joint consideration of hardware and software vulnerabilities, those designs also take efficiencies and overhead problems into consideration, making the new-style of PUF more possible to be merged into current chips as well as their design concepts. While the growth of artificial intelligence and machine-learning techniques dominate the researching trends of Internet of things (IoT) industry, some mainstream architectures of neural networks are implemented as hypothetical attacking model, whose results are used as references for further lifting the performance, the security level, and the efficiency in lateral studies. In addition, a study of implementation of neural networks on hardware designs is proposed, this realized the initial attempt to introduce AI techniques to the designs of voltage regulation (VR). All aforementioned works are demonstrated to be of robustness to threats with corresponding power attack tests or ML attack tests. Some conceptional models are proposed in the last of the dissertation as future plans so as to realize secure on-chip ML models and hardware countermeasures to hybrid threats
    • โ€ฆ
    corecore