194 research outputs found

    Conductive atomic force microscopy studies of thin SiO[sub 2] layer degradation

    Get PDF
    The dielectric degradation of ultrathin 2 nm silicon dioxide SiO2 layers has been investigated by constant and ramped voltage stresses with the conductive atomic force microscopy CAFM. CAFM imaging shows clearly the lateral degradation propagation and its saturation. Current-voltage characteristics, performed at nanometer scale, show the trap creation rate in function of the stress condition. The critical trap density has been found

    A demonstration of donor passivation through direct formation of V-As-i complexes in As-doped Ge1-xSnx

    Get PDF
    Positron annihilation spectroscopy in the Doppler and coincidence Doppler mode was applied on Ge1 xSnx epitaxial layers, grown by chemical vapor deposition with different total As concentrations (1019-1021 cm3), high active As concentrations (1019 cm3), and similar Sn concentrations (5.9%-6.4%). Positron traps are identified as mono-vacancy complexes. Vacancy-As complexes, V-Asi, formed during the growth were studied to deepen the understanding of the electrical passivation of the Ge1 xSnx:As epilayers. Larger monovacancy complexes, V-Asi (i 2), are formed as the As doping increases. The total As concentration shows a significant impact on the saturation of the number of As atoms (i 1/4 4) around the vacancies in the sample epilayers. The presence of V-Asi complexes decreases the dopant activation in the Ge1 xSnx:As epilayers. Furthermore, the presence of Sn failed to hinder the formation of larger V-Asi complexes and thus failed to reduce the donor-deactivation.Peer reviewe

    Vapor phase doping with N-type dopant into silicon by atmospheric pressure chemical vapor deposition

    Full text link
    peer reviewedAtomic layer doping of phosphorus (P) and arsenic (As) into Si was performed using the vapor phase doping (VPD) technique. For increasing deposition time and precursor gas flow rate, the P and As doses tend to saturate at about 0.8 and 1.0 monolayer of Si, respectively. Therefore, these processes are self-limited in both cases. When a Si cap layer is grown on the P-covered Si(001), high P concentration of 3.7 × 1020 cm-3 at the heterointerface in the Si- cap/P/Si-substrate layer stacks is achieved. Due to As desorption and segregation toward the Si surface during the temperature ramp up and during the Si-cap growth, the As concentration at the heterointerface in the Si-cap/As/Si-substrate layer stacks was lower compared to the P case. These results allowed us to evaluate the feasibility of the VPD process to fabricate precisely controlled doping profiles

    Post-field ionization of Si clusters in atom probe tomography: A joint theoretical and experimental study

    Full text link
    A major challenge for Atom Probe Tomography (APT) quantification is the inability to decouple ions which possess the same mass/charge-state (m/nm/n) ratio but a different mass. For example, 75As+^{75}{\rm{As}}^{+} and 75As22+^{75}{\rm{As}}{_2}^{2+} at ~75 Da or 14N+^{14}{\rm{N}}^+ and 28Si2+^{28}{\rm{Si}}^{2+} at ~14 Da, cannot be differentiated without the additional knowledge of their kinetic energy or a significant improvement of the mass resolving power. Such mass peak overlaps lead to ambiguities in peak assignment, resulting in compositional uncertainty and an incorrect labelling of the atoms in a reconstructed volume. In the absence of a practical technology for measuring the kinetic energy of the field-evaporated ions, we propose and then explore the applicability of a post-experimental analytical approach to resolve this problem based on the fundamental process that governs the production of multiply charged molecular ions/clusters in APT, i.e., Post-Field Ionization (PFI). The ability to predict the PFI behaviour of molecular ions as a function of operating conditions could offer the first step towards resolving peak overlap and minimizing compositional uncertainty. We explore this possibility by comparing the field dependence of the charge-state-ratio for Si clusters (Si2\rm{Si}_2, Si3\rm{Si}_3 and Si4\rm{Si}_4) with theoretical predictions using the widely accepted Kingham PFI theory. We then discuss the model parameters that may affect the quality of the fit and the possible ways in which the PFI of molecular ions in APT can be better understood. Finally, we test the transferability of the proposed approach to different material systems and outline ways forward for achieving more reliable results

    Non-destructive extraction of junction depths of active doping profiles from photomodulated optical reflectance offset curves

    Full text link
    The ITRS Roadmap highlights the electrical characterization of the source and drain extension regions as a key challenge for future complimentary-metal-oxide-semiconductor technology. Presently, an accurate determination of the depth of ultrashallow junctions can routinely only be performed by time-consuming and destructive techniques such as secondary ion mass spectrometry (SIMS). In this work, the authors propose to use the fast and nondestructive photomodulated optical reflectance (PMOR) technique , as implemented in the Therma-Probe\textregistered (TP) dopant metrology system, for these purposes. PMOR is a pump-probe technique based on the measurement of the pump-induced modulated change in probe reflectance, i.e., the so-called (photo) modulated reflectance. In this article, the authors demonstrate that the absolute junction depths of boxlike active dopant structures can be extracted in a very simple and straightforward way from the TP offset curves, which represent the behavior of the modulated reflectance as a function of the pump-probe beam spacing. Although the procedure is based on the insights into the physical behavior of the offset curves, no modeling is involved in the actual extraction process itself. The extracted junction depths are in good correlation with the corresponding junction depths as measured by means of SIMS. The technique has a subnanometer depth sensitivity for depths ranging from 10 to 35 nm with the present Therma-Probe\textregistered 630XP system. The extension of the proposed procedure to the general ultrashallow profiles is also explored and discusse

    Source/Drain Materials for Ge nMOS Devices : Phosphorus Activation in Epitaxial Si, Ge, Ge1-xSnx and SiyGe1-x-ySnx

    Get PDF
    This paper benchmarks various epitaxial growth schemes based on n-type group-IV materials as viable source/drain candidates for Ge nMOS devices. Si:P grown at low temperature on Ge, gives an active carrier concentration as high as 3.5 x 10(20) cm(-3) and a contact resistivity down to 7.5 x 10(-9) Omega.cm(2). However, Si:P growth is highly defective due to large lattice mismatch between Si and Ge. Within the material stacks assessed, one option for Ge nMOS source/drain stressors would be to stack Si:P, deposited at contact level, on top of a selectively grown n-SiyGe1-x-ySnx at source/drain level, in line with the concept of Si passivation of n-Ge surfaces to achieve low contact resistivities as reported in literature (Martens et al. 2011 Appl. Phys. Lett., 98, 013 504). The saturation in active carrier concentration with increasing P (or As)-doping is the major bottleneck in achieving low contact resistivities for as-grown Ge or SiyGe1-x-ySnx. We focus on understanding various dopant deactivation mechanisms in P-doped Ge and Ge1-xSnx alloys. First principles simulation results suggest that P deactivation in Ge and Ge1-xSnx can be explained both by P-clustering and donor-vacancy complexes. Positron annihilation spectroscopy analysis, suggests that dopant deactivation in P-doped Ge and Ge1-xSnx is primarily due to the formation of P-n-V and SnmPn-V clusters. (C) 2020 The Author(s). Published on behalf of The Electrochemical Society by IOP Publishing Limited.Peer reviewe

    Electrical characterization of single nanometer-wide Si fins in dense arrays

    Get PDF
    This paper demonstrates the development of a methodology using the micro four-point probe (μ4PP) technique to electrically characterize single nanometer-wide fins arranged in dense arrays. We show that through the concept of carefully controlling the electrical contact formation process, the electrical measurement can be confined to one individual fin although the used measurement electrodes physically contact more than one fin. We demonstrate that we can precisely measure the resistance of individual ca. 20 nm wide fins and that we can correlate the measured variations in fin resistance with variations in their nanometric width. Due to the demonstrated high precision of the technique, this opens the prospect for the use of μ4PP in electrical critical dimension metrology

    Thickness dependence of the resistivity of Platinum group metal thin films

    Full text link
    We report on the thin film resistivity of several platinum-group metals (Ru, Pd, Ir, Pt). Platinum-group thin films show comparable or lower resistivities than Cu for film thicknesses below about 5\,nm due to a weaker thickness dependence of the resistivity. Based on experimentally determined mean linear distances between grain boundaries as well as ab initio calculations of the electron mean free path, the data for Ru, Ir, and Cu were modeled within the semiclassical Mayadas--Shatzkes model [Phys. Rev. B 1, 1382 (1970)] to assess the combined contributions of surface and grain boundary scattering to the resistivity. For Ru, the modeling results indicated that surface scattering was strongly dependent on the surrounding material with nearly specular scattering at interfaces with SiO2 or air but with diffuse scattering at interfaces with TaN. The dependence of the thin film resistivity on the mean free path is also discussed within the Mayadas--Shatzkes model in consideration of the experimental findings.Comment: 28 pages, 9 figure

    Nucleation Mechanism during WS2 Plasma Enhanced Atomic Layer Deposition on Amorphous Al2O3 and Sapphire Substrates

    Get PDF
    The structure, crystallinity and properties of as-deposited two-dimensional (2D) transition metal dichalcogenides are determined by nucleation mechanisms in the deposition process. 2D materials grown by atomic layer deposition (ALD) in absence of a template, are polycrystalline or amorphous. Little is known about their nucleation mechanisms. Therefore, we investigate the nucleation behavior of WS2 during plasma enhanced ALD from WF6, H2 plasma and H2S at 300 °C on amorphous ALD Al2O3 starting surface and on monocrystalline, bulk sapphire. Preferential interaction of the precursors with the Al2O3 starting surface promotes fast closure of the WS2 layer. The WS2 layers are fully continuous at WS2 content corresponding to only 1.2 WS2 monolayers. On amorphous Al2O3, (0002) textured and polycrystalline WS2 layers form with grain size of 5 nm to 20 nm due to high nucleation density (~1014 nuclei/cm2). The WS2 growth mode changes from 2D (layer-by-layer) growth on the initial Al2O3 surface to three-dimensional (Volmer-Weber) growth after WS2 layer closure. Further growth proceeds from both WS2 basal planes in register with the underlying WS2 grain, and from or over grain boundaries of the underlying WS2 layer with different in-plane orientation. In contrast, on monocrystalline sapphire, WS2 crystal grains can locally align along a preferred in-plane orientation. Epitaxial seeding occurs locally albeit a large portion of crystals remain randomly oriented, presumably due to the low deposition temperature. The WS2 sheet resistance is 168 MΩµm suggesting that charge transport in the WS2 layers is limited by grain boundaries.status: publishe
    • …
    corecore