1,888 research outputs found

    Generative Part Design for Additive Manufacturing

    Get PDF

    Optical Proximity Correction (OPC) Under Immersion Lithography

    Get PDF
    As advanced technology nodes continue scaling down into sub-16 nm regime, optical microlithography becomes more vulnerable to process variations. As a result, overall lithographic yield continuously degrades. Since next-generation lithography (NGL) is still not mature enough, the industry relies heavily on resolution enhancement techniques (RETs), wherein optical proximity correction (OPC) with 193 nm immersion lithography is dominant in the foreseeable future. However, OPC algorithms are getting more aggressive. Consequently, complex mask solutions are outputted. Furthermore, this results in long computation time along with mask data volume explosion. In this chapter, recent state-of-the-art OPC algorithms are discussed. Thereafter, the performance of a recently published fast OPC methodology—to generate highly manufactured mask solutions with acceptable pattern fidelity under process variations—is verified on the public benchmarks

    A manufacturing core concepts ontology to support knowledge sharing

    Get PDF
    Knowledge sharing across domains is key to bringing down the cost of production and the time to market of products. This thesis is directed to improve the knowledge sharing capability of the present systems that use information and communication technologies. Systems for different domains have structures that are made up of concepts and relations with different semantic interpretations. Therefore, knowledge sharing across such domains becomes an issue. Knowledge sharing across multiple domains can be facilitated through a system that can provide a shared understanding across multiple domains. This requires a rigorous common semantic base underlying the domains across which to share knowledge. [Continues.

    Layout regularity metric as a fast indicator of process variations

    Get PDF
    Integrated circuits design faces increasing challenge as we scale down due to the increase of the effect of sensitivity to process variations. Systematic variations induced by different steps in the lithography process affect both parametric and functional yields of the designs. These variations are known, themselves, to be affected by layout topologies. Design for Manufacturability (DFM) aims at defining techniques that mitigate variations and improve yield. Layout regularity is one of the trending techniques suggested by DFM to mitigate process variations effect. There are several solutions to create regular designs, like restricted design rules and regular fabrics. These regular solutions raised the need for a regularity metric. Metrics in literature are insufficient for different reasons; either because they are qualitative or computationally intensive. Furthermore, there is no study relating either lithography or electrical variations to layout regularity. In this work, layout regularity is studied in details and a new geometrical-based layout regularity metric is derived. This metric is verified against lithographic simulations and shows good correlation. Calculation of the metric takes only few minutes on 1mm x 1mm design, which is considered fast compared to the time taken by simulations. This makes it a good candidate for pre-processing the layout data and selecting certain areas of interest for lithographic simulations for faster throughput. The layout regularity metric is also compared against a model that measures electrical variations due to systematic lithographic variations. The validity of using the regularity metric to flag circuits that have high variability using the developed electrical variations model is shown. The regularity metric results compared to the electrical variability model results show matching percentage that can reach 80%, which means that this metric can be used as a fast indicator of designs more susceptible to lithography and hence electrical variations

    Analysis of manufacturing operations using knowledge- Enriched aggregate process planning

    Get PDF
    Knowledge-Enriched Aggregate Process Planning is concerned with the problem of supporting agile design and manufacture by making process planning feedback integral to the design function. A novel Digital Enterprise Technology framework (Maropoulos 2003) provides the technical context and is the basis for the integration of the methods with existing technologies for enterprise-wide product development. The work is based upon the assertion that, to assure success when developing new products, the technical and qualitative evaluation of process plans must be carried out as early as possible. An intelligent exploration methodology is presented for the technical evaluation of the many alternative manufacturing options which are feasible during the conceptual and embodiment design phases. 'Data resistant' aggregate product, process and resource models are the foundation of these planning methods. From the low-level attributes of these models, aggregate methods to generate suitable alternative process plans and estimate Quality, Cost and Delivery (QCD) have been created. The reliance on QCD metrics in process planning neglects the importance of tacit knowledge that people use to make everyday decisions and express their professional judgement in design. Hence, the research also advances the core aggregate planning theories by developing knowledge-enrichment methods for measuring and analysing qualitative factors as an additional indicator of manufacturing performance, which can be used to compute the potential of a process plan. The application of these methods allows the designer to make a comparative estimation of manufacturability for design alternatives. Ultimately, this research should translate into significant reductions in both design costs and product development time and create synergy between the product design and the manufacturing system that will be used to make it. The efficacy of the methodology was proved through the development of an experimental computer system (called CAPABLE Space) which used real industrial data, from a leading UK satellite manufacturer to validate the industrial benefits and promote the commercial exploitation of the research

    Robotic Training for the Integration of Material Performances in Timber Manufacturing

    Get PDF
    The research focuses on testing a series of material-sensitive robotic training methods that flexibly extend the range of subtractive manufacturing processes available to designers based on the integration of manufacturing knowledge at an early design stage. In current design practices, the lack of feedback information between the different steps of linear design workflows forces designers to engage with only a limited range of standard materials and manufacturing techniques, leading to wasteful and inefficient solutions. With a specific focus on timber subtractive manufacturing, the work presented in this thesis addresses the main issue hindering the utilisation of non-standard tools and heterogeneous materials in design processes which is the significant deviation between what is prescribed in the digital design environment and the respective fabrication outcome. To begin, it has been demonstrated the extent to which the heterogeneous properties of timber affect the outcome of the robotic carving process beyond the acceptable tolerance thresholds for design purposes. Resting on this premise, the devised strategy to address such a material variance involved capturing, transferring, augmenting and integrating manufacturing knowledge through the collection of real- world fabrication data, both by human experts and robotic sessions, and training of machine learning models (i.e. Artificial Neural Networks) to achieve an accurate simulation of the robotic manufacturing task informed by specific sets of tools affordances and material behaviours. The results of the training process have demonstrated that it is possible to accurately simulate the carving process to a degree sufficient for design applications, anticipating the influence of material and tool properties on the carved geometry. The collaborations with the industry partners of the project, ROK Architects (Zürich) and BIG (Copenhagen), provided the opportunity to assess the different practical uses and related implications of the tools in a real-world scenario following an open-ended and explorative approach based on several iterations of the full design-to-production cycle. The findings have shown that the devised strategy supports decision-making procedures at an early stage of the design process and enables the exploration of novel, previously unavailable, solutions informed by material and tool affordances

    Human‑centred design in industry 4.0: case study review and opportunities for future research

    Get PDF
    The transition to industry 4.0 has impacted factories, but it also afects the entire value chain. In this sense, human-centred factors play a core role in transitioning to sustainable manufacturing processes and consumption. The awareness of human roles in Industry 4.0 is increasing, as evidenced by active work in developing methods, exploring infuencing factors, and proving the efectiveness of design oriented to humans. However, numerous studies have been brought into existence but then disconnected from other studies. As a consequence, these studies in industry and research alike are not regularly adopted, and the network of studies is seemingly broad and expands without forming a coherent structure. This study is a unique attempt to bridge the gap through the literature characteristics and lessons learnt derived from a collection of case studies regarding human-centred design (HCD) in the context of Industry 4.0. This objective is achieved by a well-rounded systematic literature review whose special unit of analysis is given to the case studies, delivering contributions in three ways: (1) providing an insight into how the literature has evolved through the cross-disciplinary lens; (2) identifying what research themes associated with design methods are emerging in the feld; (3) and setting the research agenda in the context of HCD in Industry 4.0, taking into account the lessons learnt, as uncovered by the in-depth review of case studies

    Algorithmic techniques for physical design : macro placement and under-the-cell routing

    Get PDF
    With the increase of chip component density and new manufacturability constraints imposed by modern technology nodes, the role of algorithms for electronic design automation is key to the successful implementation of integrated circuits. Two of the critical steps in the physical design flows are macro placement and ensuring all design rules are honored after timing closure. This thesis proposes contributions to help in these stages, easing time-consuming manual steps and helping physical design engineers to obtain better layouts in reduced turnaround time. The first contribution is under-the-cell routing, a proposal to systematically connect standard cell components via lateral pins in the lower metal layers. The aim is to reduce congestion in the upper metal layers caused by extra metal and vias, decreasing the number of design rule violations. To allow cells to connect by abutment, a standard cell library is enriched with instances containing lateral pins in a pre-selected sharing track. Algorithms are proposed to maximize the numbers of connections via lateral connection by mapping placed cell instances to layouts with lateral pins, and proposing local placement modifications to increase the opportunities for such connections. Experimental results show a significant decrease in the number of pins, vias, and in number of design rule violations, with negligible impact on wirelength and timing. The second contribution, done in collaboration with eSilicon (a leading ASIC design company), is the creation of HiDaP, a macro placement tool for modern industrial designs. The proposed approach follows a multilevel scheme to floorplan hierarchical blocks, composed of macros and standard cells. By exploiting RTL information available in the netlist, the dataflow affinity between these blocks is modeled and minimized to find a macro placement with good wirelength and timing properties. The approach is further extended to allow additional engineer input, such as preferred macro locations, and also spectral and force methods to guide the floorplanning search. Experimental results show that the layouts generated by HiDaP outperforms those obtained by a state-of-the-art EDA physical design software, with similar wirelength and better timing when compared to manually designed tape-out ready macro placements. Layouts obtained by HiDaP have successfully been brought to near timing closure with one to two rounds of small modifications by physical design engineers. HiDaP has been fully integrated in the design flows of the company and its development remains an ongoing effort.A causa de l'increment de la densitat de components en els xip i les noves restriccions de disseny imposades pels últims nodes de fabricació, el rol de l'algorísmia en l'automatització del disseny electrònic ha esdevingut clau per poder implementar circuits integrats. Dos dels passos crucials en el procés de disseny físic és el placement de macros i assegurar la correcció de les regles de disseny un cop les restriccions de timing del circuit són satisfetes. Aquesta tesi proposa contribucions per ajudar en aquests dos reptes, facilitant laboriosos passos manuals en el procés i ajudant als enginyers de disseny físic a obtenir millors resultats en menys temps. La primera contribució és el routing "under-the-cell", una proposta per connectar cel·les estàndard usant pins laterals en les capes de metall inferior de manera sistemàtica. L'objectiu és reduir la congestió en les capes de metall superior causades per l'ús de metall i vies, i així disminuir el nombre de violacions de regles de disseny. Per permetre la connexió lateral de cel·les, estenem una llibreria de cel·les estàndard amb dissenys que incorporen connexions laterals. També proposem modificacions locals al placement per permetre explotar aquest tipus de connexions més sovint. Els resultats experimentals mostren una reducció significativa en el nombre de pins, vies i nombre de violacions de regles de disseny, amb un impacte negligible en wirelength i timing. La segona contribució, desenvolupada en col·laboració amb eSilicon (una empresa capdavantera en disseny ASIC), és el desenvolupament de HiDaP, una eina de macro placement per a dissenys industrials actuals. La proposta segueix un procés multinivell per fer el floorplan de blocks jeràrquics, formats per macros i cel·les estàndard. Mitjançant la informació RTL disponible en la netlist, l'afinitat de dataflow entre els mòduls es modela i minimitza per trobar macro placements amb bones propietats de wirelength i timing. La proposta també incorpora la possibilitat de rebre input addicional de l'enginyer, com ara suggeriments de les posicions de les macros. Finalment, també usa mètodes espectrals i de forçes per guiar la cerca de floorplans. Els resultats experimentals mostren que els dissenys generats amb HiDaP són millors que els obtinguts per eines comercials capdavanteres de EDA. Els resultats també mostren que els dissenys presentats poden obtenir un wirelength similar i millor timing que macro placements obtinguts manualment, usats per fabricació. Alguns dissenys obtinguts per HiDaP s'han dut fins a timing-closure en una o dues rondes de modificacions incrementals per part d'enginyers de disseny físic. L'eina s'ha integrat en el procés de disseny de eSilicon i el seu desenvolupament continua més enllà de les aportacions a aquesta tesi.Postprint (published version

    Algorithmic techniques for physical design : macro placement and under-the-cell routing

    Get PDF
    With the increase of chip component density and new manufacturability constraints imposed by modern technology nodes, the role of algorithms for electronic design automation is key to the successful implementation of integrated circuits. Two of the critical steps in the physical design flows are macro placement and ensuring all design rules are honored after timing closure. This thesis proposes contributions to help in these stages, easing time-consuming manual steps and helping physical design engineers to obtain better layouts in reduced turnaround time. The first contribution is under-the-cell routing, a proposal to systematically connect standard cell components via lateral pins in the lower metal layers. The aim is to reduce congestion in the upper metal layers caused by extra metal and vias, decreasing the number of design rule violations. To allow cells to connect by abutment, a standard cell library is enriched with instances containing lateral pins in a pre-selected sharing track. Algorithms are proposed to maximize the numbers of connections via lateral connection by mapping placed cell instances to layouts with lateral pins, and proposing local placement modifications to increase the opportunities for such connections. Experimental results show a significant decrease in the number of pins, vias, and in number of design rule violations, with negligible impact on wirelength and timing. The second contribution, done in collaboration with eSilicon (a leading ASIC design company), is the creation of HiDaP, a macro placement tool for modern industrial designs. The proposed approach follows a multilevel scheme to floorplan hierarchical blocks, composed of macros and standard cells. By exploiting RTL information available in the netlist, the dataflow affinity between these blocks is modeled and minimized to find a macro placement with good wirelength and timing properties. The approach is further extended to allow additional engineer input, such as preferred macro locations, and also spectral and force methods to guide the floorplanning search. Experimental results show that the layouts generated by HiDaP outperforms those obtained by a state-of-the-art EDA physical design software, with similar wirelength and better timing when compared to manually designed tape-out ready macro placements. Layouts obtained by HiDaP have successfully been brought to near timing closure with one to two rounds of small modifications by physical design engineers. HiDaP has been fully integrated in the design flows of the company and its development remains an ongoing effort.A causa de l'increment de la densitat de components en els xip i les noves restriccions de disseny imposades pels últims nodes de fabricació, el rol de l'algorísmia en l'automatització del disseny electrònic ha esdevingut clau per poder implementar circuits integrats. Dos dels passos crucials en el procés de disseny físic és el placement de macros i assegurar la correcció de les regles de disseny un cop les restriccions de timing del circuit són satisfetes. Aquesta tesi proposa contribucions per ajudar en aquests dos reptes, facilitant laboriosos passos manuals en el procés i ajudant als enginyers de disseny físic a obtenir millors resultats en menys temps. La primera contribució és el routing "under-the-cell", una proposta per connectar cel·les estàndard usant pins laterals en les capes de metall inferior de manera sistemàtica. L'objectiu és reduir la congestió en les capes de metall superior causades per l'ús de metall i vies, i així disminuir el nombre de violacions de regles de disseny. Per permetre la connexió lateral de cel·les, estenem una llibreria de cel·les estàndard amb dissenys que incorporen connexions laterals. També proposem modificacions locals al placement per permetre explotar aquest tipus de connexions més sovint. Els resultats experimentals mostren una reducció significativa en el nombre de pins, vies i nombre de violacions de regles de disseny, amb un impacte negligible en wirelength i timing. La segona contribució, desenvolupada en col·laboració amb eSilicon (una empresa capdavantera en disseny ASIC), és el desenvolupament de HiDaP, una eina de macro placement per a dissenys industrials actuals. La proposta segueix un procés multinivell per fer el floorplan de blocks jeràrquics, formats per macros i cel·les estàndard. Mitjançant la informació RTL disponible en la netlist, l'afinitat de dataflow entre els mòduls es modela i minimitza per trobar macro placements amb bones propietats de wirelength i timing. La proposta també incorpora la possibilitat de rebre input addicional de l'enginyer, com ara suggeriments de les posicions de les macros. Finalment, també usa mètodes espectrals i de forçes per guiar la cerca de floorplans. Els resultats experimentals mostren que els dissenys generats amb HiDaP són millors que els obtinguts per eines comercials capdavanteres de EDA. Els resultats també mostren que els dissenys presentats poden obtenir un wirelength similar i millor timing que macro placements obtinguts manualment, usats per fabricació. Alguns dissenys obtinguts per HiDaP s'han dut fins a timing-closure en una o dues rondes de modificacions incrementals per part d'enginyers de disseny físic. L'eina s'ha integrat en el procés de disseny de eSilicon i el seu desenvolupament continua més enllà de les aportacions a aquesta tesi
    corecore