1,499 research outputs found

    Reliability Studies of TiN/Hf-Silicate Based Gate Stacks

    Get PDF
    Hafnium-silicate based oxides are among the leading candidates to be included into the first generation of high-ฮš gate stacks in nano-scale CMOS technology because of their distinct advantages as far as thermal stability, leakage characteristics, threshold stability and low mobility degradation are concerned. Their reliability, which is limited by trapping at pre-existing and stress induced defects, remains to be a major concern. Energy levels of electrically active ionic defects within the thick high-ฮš have been experimentally observed in the context of MOS band diagram for the first time in Hf-silicate gate stacks from low temperature and leakage measurements. Excellent match between experimental and calculated defect levels shows that bulk O vacancies are probably responsible for electron trapping at both shallow and deep levels. Their role in trapping and transport under different gate polarity and band bending conditions has been determined. For gate injection, electron transport through mid-gap states dominates, which leads to slow transient trapping at deep levels. Under substrate injection field and temperature dependent transport through conduction-edge shallow levels or trap-assisted tunneling due to negative- U transition occurs depending on bias condition. The former gives rise to fast transient trapping, whereas the latter is responsible for slow transient trapping. Mixed degradation, due to trapping of both electrons and holes in the trap levels within the bulk high-K, was observed under constant voltage stress (CVS) applied on n-channel MOS capacitors with negative bias condition. Mixed degradation resulted in turn-around effect in flat-band voltage shift (ฮ”FB) with respect to stress time. Under CVS with positive bias, applied on nMOSFETs, lateral distribution of trapped charges in the deep levels causes turn-around effect in threshold voltage shift (ฮ”VT) with respect to stress levels. For the incident carrier energies above the calculated 0 vacancy formation threshold and thick high-ฮš layer, both flatband voltage shift, due to electron trapping at the deep levels, and increase in leakage current during stress follow tn(n โ‰ˆ 0.4) power-law dependence under substrate hot electron injection. Negative-U transitions to deep levels are shown to be responsible for the strong correlation between slow transient trapping and trap assisted tunneling. As far as negative bias temperature instability, NBTI effects on pMOSFETs is concerned, ฮ”VT is due to the mixed degradation within the bulk high-ฮš for low bias conditions. For moderately high bias, ฮ”VT shows an excellent match with that of SiO, based devices, which is explained by reaction-diffusion (R-D) model of NBTL. Under high bias condition at elevated temperatures, due to high Si-H bond-annealing/bond-breaking ratio, the experimentally observed absence of the impact ionization induced hot holes at the interfacial layer (IL)/Si interface probably limits the interface state generation and ฮ”VT as they quickly reach saturation. Time-zero dielectric breakdown (TZBD) characteristics of TiN/HfO2 based gate stacks show that thickness and growth conditions significantly affect the BD field of IL. For the thin high-w layers, BD of IL triggers BD of the gate stack. Otherwise, BD of high-w layer initiates it. During time dependent dielectric breakdown, TDDB, four regimes of degradation are observed under CVS with high gate bias conditions: (i) charge trapping/defect generation, (ii) soft breakdown (SBD), (iii) progressive breakdown and (iv) hard breakdown (HBD). Activation energy of bond-breakage, found from Arrhenius plots of 63% failure value of TBD, shows that IL degradation triggers gate stacks BD, and the wear-out during TDDB

    TiN/HfO2/SiO2/Si gate stacks reliability : Contribution of HfO2 and interfacial SiO2 layer

    Get PDF
    Hafnium Oxide based gate stacks are considered to be the potential candidates to replace SiO2 in complementary metal-oxide-semiconductor (CMOS), as they reduce the gate leakage by over 100 times while keeping the device performance intact. Even though considerable performance improvement has been achieved, reliability of high-ฮบ devices for the next generation of transistors (45nm and beyond) which has an interfacial layer (IL: typically SiO2) between high-ฮบ and the substrate, needs to be investigated. To understand the breakdown mechanism of high-ฮบ/SiO2 gate stack completely, it is important to study this multi-layer structure extensively. For example, (i) the role of SiO2 interfacial layers and bulk high-ฮบ gate dielectrics without any interfacial layer can be investigated separately while maintaining same growth conditions; (ii) the evolution of breakdown process can be studied through stress induced leakage current (SILC); (iii) relationship of various degradation mechanisms such as negative bias temperature instability (NBTI) with that of the dielectric breakdown; and (iv) a fast evaluation process to estimate statistical breakdown distribution. In this dissertation a comparative study was conducted to investigate individual breakdown characteristics of high-ฮบ/IL (ISSG SiO2)/metal gate stacks, in-situ steam generated (ISSG)-SiO2 MOS structures and HfO2-only metal-insulator-metal (MIM) capacitors. Experimental results indicate that after constant voltage stress (CVS) identical degradation for progressive breakdown and SILC were observed in high-ฮบ/IL and SiO2-only MOS devices, but HfO2-only MIM capacitors showed insignificant SILC and progressive breakdown until it went into hard breakdown. Based on the observed SILC behavior and charge-to-breakdown (QBD), it was inferred that interfacial layer initiates progressive breakdown of metal gate/high-ฮบ gate stacks at room temperature. From normalized SILC (ฮ”Jg/Jg0) at accelerated temperature and activation energy of the timeto- breakdown (TBD), it was observed that IL initiates the gate stack breakdown at higher temperatures as well. A quantitative agreement was observed for key parameters of NBTI and time dependent dielectric breakdown (TDDB) such as the activation energies of threshold voltage change and SILC. The quality and thickness variation of the IL causes similar degradation on both NBTI and TDDB indicating that mechanism of these two reliability issues are related due to creation of identical defect types in the IL. CVS was used to investigate the statistical distribution of TBD, defined as soft or first breakdown where small sample size was considered. As TBD followed Weibull distribution, large sample size was not required. Since the failure process in static random access memory (SRAM) is typically predicted by the realistic TDDB model based on gate leakage current (IFAIL) rather than the conventional first breakdown criterion, the relevant failure distributions at IFAIL are non-Weibull including the progressive breakdown (PBD) phase for high-ฮบ/metal gate dielectrics. A new methodology using hybrid two-stage stresses has been developed to study progressive breakdown phase further for high-ฮบ and SiO2. It is demonstrated that VRS can be used effectively for quantitative reliability studies of progressive breakdown phase and final breakdown of high-ฮบ and other dielectric materials; thus it can replace the time-consuming CVS measurements as an efficient methodology and reduce the resources manufacturing cost

    Enhancement of process control using real-time simulation

    Get PDF

    Modelling, fabrication and characterisation of the EEPROM

    Get PDF

    450degree C Aln Thin Film Growth on Nitrided C-Plane Sapphire Substrates

    Get PDF
    Low temperature (LT) growth of strongly textured AlN by molecular beam epitaxy (MBE) has not been extensively investigated. The low mobility of Al adatoms provides a challenge for growth of AlN at low temperatures (\u3c600 \u3eยฐC). Consequently, AlN growth by MBE is typically at temperatures \u3e700 ยฐC. Growth at these temperatures can limit the selection of substrates and materials to grow with the AlN. Highly oriented, LT AlN thin films (\u3c50 \u3enm) were grown on c-ยญโ€plane sapphire, using an interrupted growth procedure. Films were grown by periodically interrupting the Al flux with a nitridation. This two-ยญโ€step cycle was repeated until the AlN film reached the desired thickness. For comparison, two groups of AlN thin films were grown using an uninterrupted growth. One group was grown using an Al/N ratio \u3e1, and the other grown with an Al/N\u3c1 were multiply oriented, with poor surface morphology. Comparison films grown with an Al/N\u3c1, strongly textured films resulted from depositing 5-ยญโ€6 monolayers of AlN, and interrupting each growth with a 2โ€“3 minute nitridation. The N* of a 600 W plasma produced a smooth surface. Using a 300W plasma increased surface roughness

    ์ฐจ์„ธ๋Œ€ ๋ฐ˜๋„์ฒด ๋ฐฐ์„ ์„ ์œ„ํ•œ ์ฝ”๋ฐœํŠธ ํ•ฉ๊ธˆ ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ์žฌ๋ฃŒ ์„ค๊ณ„ ๋ฐ ์ „๊ธฐ์  ์‹ ๋ขฐ์„ฑ์— ๋Œ€ํ•œ ์—ฐ๊ตฌ

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์žฌ๋ฃŒ๊ณตํ•™๋ถ€, 2022.2. ์ฃผ์˜์ฐฝ.Recently, the resistance-capacitance (RC) delay of the Cu interconnects in metal 1 (M1) level has been increased rapidly due to the reduction of the interconnect linewidth along with the transistor scaling down, and the interconnect reliability becomes a severe issue again. In order to overcome interconnect performance problems and move forward to the next-generation interconnects system, study on low resistivity (ฯo) and low electron mean free path (ฮป) metals was conducted. Generally, metals such as Cobalt (Co), Ruthenium (Ru), and Molybdenum (Mo) are mentioned as candidates for next-generation interconnect materials, and since they have a low ฯo ร— ฮป value, it is expected that the influence of interface scatterings and surface scattering can be minimized. However, harsh operating environments such as high electric fields, critical Joule heating, and reduction of the pitch size are severely deteriorating the performance of electronic devices as well as device reliability. For example, since time dependent dielectric breakdown (TDDB) problems for next-generation interconnect system have been reported recently, it is necessary to study alternative barrier materials and processes to improve the interconnect reliability. Specifically, extrinsic dielectric breakdown due to penetration of Co metal ions in high electric fields has been reported as a reliability problem to be solved in Co interconnect systems. Therefore, there is a need for new material system design and research on a robust diffusion barrier that prevents metal ions from penetrating into the dielectric, thereby improving the reliability of Co interconnects. Moreover, in order to lower the resistance of the interconnect, it is necessary to develop an ultra-thin barrier. This is because even a barrier with good reliability characteristics will degrade chip performance if it takes up a lot of volume in the interconnect. The recommended thickness for a single diffusion barrier layer is currently reported to be less than 2.5 nm. As a result, it is essential to develop materials that comprehensively consider performance and reliability. In this study, we designed a Co alloy self-forming barrier (SFB) material that can make sure of low resistance and high reliability for Co interconnects, which is attracting attention as a next-generation interconnect system. The self-forming barrier methodology induces diffusion of an alloy dopant at the interface between the metal and the dielectric during the annealing process. And the diffused dopant reacts with the dielectric to form an ultra-thin diffusion barrier. Through this methodology, it is possible to improve reliability by preventing the movement of metal ions. First of all, material design rules were established to screen the appropriate alloy dopants and all CMOS-compatible metals were investigated. Dopant resistivity, intermetallic compound formation, solubility in Co, activity coefficient in Co, and oxidation tendency is considered as the criteria for the dopant to escape from the Co matrix and react at the Co/SiO2 interface. In addition, thermodynamic calculations were performed to predict which phases would be formed after the annealing process. Based on thermodynamic calculations, 5 dopant metals were selected, prioritized for self-forming behavior. And the self-forming material was finally selected through thin film and device analysis. We confirmed that Cr, Zn, and Mn out-diffused to the surface of the thin film structure using X-ray photoelectron spectroscopy (XPS) depth profile and investigated the chemical state of out-diffused dopants through the analysis of a binding energy. Cr shows the most ideal self-forming behavior with the SiO2 dielectric and reacted with oxygen to form a Cr2O3 barrier. In metal-insulator-semiconductor (MIS) structure, out-diffused Cr reacts with SiO2 at the interface and forms a self-formed single layer. It was confirmed that the thickness of the diffusion barrier layer is about 1.2 nm, which is an ultra-thin layer capable of minimizing the total effective resistance. Through voltage-ramping dielectric breakdown (VRDB) tests, Co-Cr alloy showed highest breakdown voltage (VBD) up to 200 % than pure Co. The effect of Cr doping concentration and heat treatment condition applicable to the interconnect process was confirmed. When Cr was doped less than 1 at%, the robust electrical reliability was exhibited. Also, it was found that a Cr2O3 interfacial layer was formed when annealing process was performed at 250 ยฐC or higher for 30 minutes or longer. In other words, Co-Cr alloy is well suited for the interconnect process because current interconnect process temperature is below 400 ยฐC. And when the film thickness was lowered from 150 nm to 20 nm, excellent VBD values were confirmed even at high Cr doping concentration (~7.5 at%). It seems that the amount of Cr present at the Co/SiO2 interface plays a very important role in improving the Cr oxide SFB quality. Physical modeling is necessary to understand the amount of Cr at the interface according to the interconnect volumes and the reliability of the Cr oxide self-forming barrier. TDDB lifetime test also performed and Co-Cr alloy interconnect shows a highly reliable diffusion barrier property of self-formed interfacial layer. The DFT analysis also confirmed that Cr2O3 is a very promising barrier material because it showed a higher energy barrier value than the TiN diffusion barrier currently being studied. A Co-based self-forming barrier was designed through thermodynamic calculations that take performance and reliability into account in interconnect material system. A Co interconnect system with an ultra-thin Cr2O3 diffusion barrier with excellent reliability is proposed. Through this design, it is expected that high-performance interconnects based on robust reliability in the advanced interconnect can be implemented in the near future.์ตœ๊ทผ ๋ฐ˜๋„์ฒด ์†Œ์ž ์Šค์ผ€์ผ๋ง์— ๋”ฐ๋ฅธ ๋ฐฐ์„  ์„ ํญ ๊ฐ์†Œ๋กœ M0, M1์˜์—ญ์—์„œ์˜ metal ๋น„์ €ํ•ญ์ด ๊ธ‰๊ฒฉํžˆ ์ฆ๊ฐ€ํ•˜์—ฌ ๋ฐฐ์„ ์—์„œ์˜ RC delay๊ฐ€ ๋‹ค์‹œ ํ•œ๋ฒˆ ํฌ๊ฒŒ ๋ฌธ์ œ๊ฐ€ ๋˜๊ณ  ์žˆ๋‹ค. ์ด๋ฅผ ํ•ด๊ฒฐํ•˜๊ธฐ ์œ„ํ•ด์„œ ์ฐจ์„ธ๋Œ€ ๋ฐฐ์„  ์‹œ์Šคํ…œ์—์„œ๋Š” ๋‚ฎ์€ ๋น„์ €ํ•ญ๊ณผ electron mean free path (EMFP)์„ ๊ฐ€์ง€๋Š” ๋ฌผ์งˆ ์—ฐ๊ตฌ๊ฐ€ ์ง„ํ–‰๋˜์—ˆ๋‹ค. ๋Œ€ํ‘œ์ ์œผ๋กœ Co, Ru, Mo์™€ ๊ฐ™์€ ๊ธˆ์†๋“ค์ด ์ฐจ์„ธ๋Œ€ ๋ฐฐ์„  ์žฌ๋ฃŒ ํ›„๋ณด๋กœ ์–ธ๊ธ‰๋˜๊ณ  ์žˆ์œผ๋ฉฐ ๋‚ฎ์€ ฯ0 ร— ฮป ๊ฐ’์„ ๊ฐ–๊ธฐ ๋•Œ๋ฌธ์— interface (surface) scattering๊ณผ grain boundary scattering ์˜ํ–ฅ์„ ์ตœ์†Œํ™”ํ•  ์ˆ˜ ์žˆ์„ ๊ฒƒ์œผ๋กœ ๋ณด๊ณ  ์žˆ๋‹ค. ํ•˜์ง€๋งŒ ๊ฐ€ํ˜นํ•œ electrical field์™€ ๋†’์€ Joule heating์ด ๋ฐœ์ƒํ•˜๋Š” ๋™์ž‘ ํ™˜๊ฒฝ์œผ๋กœ ์ธํ•ด performance๋ฟ๋งŒ ์•„๋‹ˆ๋ผ ์†Œ์ž ์‹ ๋ขฐ์„ฑ์ด ๋” ์—ด์•…ํ•œ ์ƒํ™ฉ์— ๋†“์—ฌ์žˆ๋‹ค. ์˜ˆ๋ฅผ ๋“ค์–ด ์ฐจ์„ธ๋Œ€ ๊ธˆ์†์— ๋Œ€ํ•œ time dependent dielectric breakdown (TDDB) ์‹ ๋ขฐ์„ฑ ๋ฌธ์ œ๊ฐ€ ๋ณด๊ณ ๋˜๊ณ  ์žˆ๊ธฐ ๋•Œ๋ฌธ์— ์ด๋ฅผ ๋ณด์•ˆํ•  ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๋ฌผ์งˆ ๋ฐ ๊ณต์ •์—ฐ๊ตฌ๊ฐ€ ํ•„์š”ํ•˜๋‹ค. ํŠนํžˆ ๋†’์€ ์ „๊ธฐ์žฅ์—์„œ Co ion์ด ์œ ์ „์ฒด๋กœ ์นจํˆฌํ•˜์—ฌ extrinsic dielectric breakdown ์‹ ๋ขฐ์„ฑ ๋ฌธ์ œ๊ฐ€ ์ตœ๊ทผ ๋ณด๊ณ ๋˜๊ณ  ์žˆ๋‹ค. ๋”ฐ๋ผ์„œ ๊ธˆ์† ์ด์˜จ์ด ์œ ์ „์ฒด ๋‚ด๋ถ€๋กœ ์นจํˆฌํ•˜๋Š” ๊ฒƒ์„ ๋ฐฉ์ง€ํ•˜์—ฌ, Co ๋ฐฐ์„ ์˜ ์‹ ๋ขฐ์„ฑ์„ ํ–ฅ์ƒ์‹œํ‚ฌ ์ˆ˜ ๊ฒฌ๊ณ ํ•œ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๊ฐœ๋ฐœ ๋ฐ ์ƒˆ๋กœ์šด ๋ฐฐ์„  ์‹œ์Šคํ…œ ์„ค๊ณ„๊ฐ€ ํ•„์š”ํ•œ ์‹œ์ ์ด๋‹ค. ๋˜ํ•œ, ๋ฐฐ์„  ์ €ํ•ญ์„ ๋‚ฎ์ถ”๊ธฐ ์œ„ํ•ด์„œ๋Š” ๋งค์šฐ ์–‡์€ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๊ฐœ๋ฐœ์ด ํ•„์š”ํ•˜๋‹ค. ์‹ ๋ขฐ์„ฑ์ด ์ข‹์€ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์ด๋ผ๋„ ๋ฐฐ์„ ์—์„œ ๋งŽ์€ ์˜์—ญ์„ ์ฐจ์ง€ํ•  ๊ฒฝ์šฐ ์ „์ฒด ์„ฑ๋Šฅ์ด ์ €ํ•˜๋˜๊ธฐ ๋•Œ๋ฌธ์ด๋‹ค. Cu ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์œผ๋กœ ์‚ฌ์šฉ๋˜๊ณ  ์žˆ๋Š” TaN ์ธต์€ 2.5 nm ๋ณด๋‹ค ์–‡์„ ๊ฒฝ์šฐ ์‹ ๋ขฐ์„ฑ์ด ๊ธ‰๊ฒฉํžˆ ๋‚˜๋น ์ง€๋ฏ€๋กœ 2.5 nm๋ณด๋‹ค ์–‡์€ ๋‘๊ป˜์˜ ๊ฒฌ๊ณ ํ•œ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๊ฐœ๋ฐœ์ด ํ•„์š”ํ•˜๋‹ค. ๋ณธ ์—ฐ๊ตฌ๋Š” ์ฐจ์„ธ๋Œ€ ๋ฐ˜๋„์ฒด ๋ฐฐ์„  ๋ฌผ์งˆ๋กœ ์ฃผ๋ชฉ๋ฐ›๊ณ  ์žˆ๋Š” Co ๊ธˆ์†์— ๋Œ€ํ•˜์—ฌ ์ €์ €ํ•ญยท๊ณ ์‹ ๋ขฐ์„ฑ์„ ํ™•๋ณดํ•  ์ˆ˜ ์žˆ๋Š” Co alloy ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ (Co alloy self-forming barrier, SFB) ์†Œ์žฌ ๋””์ž์ธํ•˜์˜€๋‹ค. ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๋ฐฉ๋ฒ•๋ก ์€ ์—ด์ฒ˜๋ฆฌ ๊ณผ์ •์—์„œ ๊ธˆ์†๊ณผ ์œ ์ „์ฒด ๊ณ„๋ฉด์—์„œ ๋„ํŽ€ํŠธ๊ฐ€ ํ™•์‚ฐํ•˜๊ฒŒ ๋œ๋‹ค. ๊ทธ๋ฆฌ๊ณ  ํ™•์‚ฐ๋˜๋‹ˆ ๋„ํŽ€ํŠธ๋Š” ์–‡์€ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์„ ํ˜•์„ฑํ•˜๋Š” ๋ฐฉ๋ฒ•๋ก ์ด๋‹ค. ์ด ๋ฐฉ๋ฒ•๋ก ์„ ํ†ตํ•ด ๊ธˆ์† ์ด์˜จ์˜ ์ด๋™์„ ๋ฐฉ์ง€ํ•˜์—ฌ Co ๋ฐฐ์„  ์‹ ๋ขฐ์„ฑ์„ ํ–ฅ์ƒ์‹œํ‚ฌ ์ˆ˜ ์žˆ์„ ๊ฒƒ์œผ๋กœ ์˜ˆ์ƒํ•˜์˜€๋‹ค. ์šฐ์„ , Co ํ•ฉ๊ธˆ์ƒ์—์„œ ์ ์ ˆํ•œ ๋„ํŽ€ํŠธ๋ฅผ ์ฐพ๊ธฐ ์œ„ํ•ด์„œ CMOS ๊ณต์ •์— ์ ์šฉ ๊ฐ€๋Šฅํ•œ ๊ธˆ์†๋“ค์„ ์„ ๋ณ„ํ•˜์˜€๋‹ค. ๋„ํŽ€ํŠธ ์ €ํ•ญ, ๊ธˆ์†๊ฐ„ ํ™”ํ•ฉ๋ฌผ ํ˜•์„ฑ ์—ฌ๋ถ€, Co๋‚ด ๊ณ ์šฉ๋„, Co alloy์—์„œ์˜ ํ™œ์„ฑ๊ณ„์ˆ˜, ์‚ฐํ™”๋„, Co/SiO2 ๊ณ„๋ฉด์—์„œ์˜ ์•ˆ์ •์ƒ์„ ์—ด์—ญํ•™์  ๊ณ„์‚ฐ์„ ํ†ตํ•ด์„œ ๋ฌผ์งˆ ์„ ์ • ๊ธฐ์ค€์œผ๋กœ ์„ธ์› ๋‹ค. ์—ด์—ญํ•™์  ๊ณ„์‚ฐ์„ ๊ธฐ๋ฐ˜์œผ๋กœ 9๊ฐœ์˜ ๋„ํŽ€ํŠธ ๊ธˆ์†์ด ์„ ํƒ๋˜์—ˆ์œผ๋ฉฐ, Co ํ•ฉ๊ธˆ ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๊ธฐ์ค€์— ๋”ฐ๋ผ์„œ ์šฐ์„  ์ˆœ์œ„๋ฅผ ์ง€์ •ํ•˜์˜€๋‹ค. ๊ทธ๋ฆฌ๊ณ  ์ตœ์ข…์ ์œผ๋กœ ๋ฐ•๋ง‰๊ณผ ์†Œ์ž ์‹ ๋ขฐ์„ฑ ํ‰๊ฐ€๋ฅผ ํ†ตํ•ด์„œ ๊ฐ€์žฅ ์ ํ•ฉํ•œ ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๋ฌผ์งˆ์„ ์„ ์ •ํ•˜์˜€๋‹ค. X-ray photoelectron spectroscopy (XPS) ๋ถ„์„์„ ์ด์šฉํ•˜์—ฌ Cr, Zn, Mn์ด ๋ฐ•๋ง‰ ๊ตฌ์กฐ์˜ ํ‘œ๋ฉด์œผ๋กœ ์™ธ๋ถ€ ํ™•์‚ฐ ์—ฌ๋ถ€๋ฅผ ํ™•์ธํ•˜๊ณ  ๊ฒฐํ•ฉ ์—๋„ˆ์ง€ ๋ถ„์„์„ ํ†ตํ•ด ์™ธ๋ถ€๋กœ ํ™•์‚ฐ๋œ ๋„ํŽ€ํŠธ์˜ ํ™”ํ•™์  ์ƒํƒœ๋ฅผ ์กฐ์‚ฌํ•˜์˜€๋‹ค. ๋ถ„์„ ๊ฒฐ๊ณผ Cr, Zn, Mn์ด ์œ ์ „์ฒด ๊ณ„๋ฉด์œผ๋กœ ํ™•์‚ฐ๋˜์–ด ์‚ฐ์†Œ์™€ ๋ฐ˜์‘ํ•˜์—ฌoxide/silicate ํ™•์‚ฐ ๋ฐฉ์ง€๋ง‰ (e.g. Cr2O3, Zn2SiO4, MnSiO3)์„ ํ˜•์„ฑํ•œ ๊ฒƒ์„ ํ™•์ธํ•˜์˜€๋‹ค. ๊ทธ ์ค‘ Cr์€ SiO2 ์œ ์ „์ฒด์™€ ํ•จ๊ป˜ ๊ฐ€์žฅ ์ด์ƒ์ ์ธ ์ž๊ธฐ ํ˜•์„ฑ ๊ฑฐ๋™์„ ๋‚˜ํƒ€๋‚ด๋ฉฐ ์‚ฐ์†Œ์™€ ๋ฐ˜์‘ํ•˜์—ฌ Cr2O3 ์ธต์„ ํ˜•์„ฑํ•˜๋Š” ๊ฒƒ์„ ํ™•์ธํ•˜์˜€๋‹ค. MIS (Metal-Insulator-Semiconductor) ๊ตฌ์กฐ์—์„œ๋„ ์™ธ๋ถ€๋กœ ํ™•์‚ฐ๋œ Cr์€ ๊ณ„๋ฉด์—์„œ SiO2์™€ ๋ฐ˜์‘ํ•˜์—ฌ Cr2O3 ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์ด ํ˜•์„ฑ๋˜์—ˆ๋‹ค. ํ™•์‚ฐ๋ฐฉ์ง€์ธต์˜ ๋‘๊ป˜๋Š” ์•ฝ 1.2nm๋กœ ์ „์ฒด ์œ ํšจ์ €ํ•ญ์„ ์ตœ์†Œํ™”ํ•  ์ˆ˜ ์žˆ๋Š” ์ถฉ๋ถ„ํžˆ ์–‡์€ ๋‘๊ป˜๋ฅผ ํ™•๋ณดํ•˜์˜€๋‹ค. VRDB (Voltage-Ramping Dielectric Breakdown) ํ…Œ์ŠคํŠธ๋ฅผ ํ†ตํ•ด Co-Cr ํ•ฉ๊ธˆ์€ ์ˆœ์ˆ˜ Co๋ณด๋‹ค ์ตœ๋Œ€ 200% ๋†’์€ ํ•ญ๋ณต ์ „์•• (breakdown voltage)์„ ๋ณด์˜€๋‹ค. ๋ฐ˜๋„์ฒด ๋ฐฐ์„  ๊ณต์ •์— ์ ์šฉํ•  ์ˆ˜ ์žˆ๋Š” Cr ๋„ํ•‘ ๋†๋„์™€ ์—ด์ฒ˜๋ฆฌ ์กฐ๊ฑด์˜ ์˜ํ–ฅ์„ ํ™•์ธํ•˜์˜€๋‹ค. Cr์ด 1at% ๋ฏธ๋งŒ์œผ๋กœ ๋„ํ•‘๋˜์—ˆ์„ ๋•Œ ์šฐ์ˆ˜ํ•œ ์ „๊ธฐ์  ์‹ ๋ขฐ์„ฑ์„ ๋‚˜ํƒ€๋‚ด์—ˆ๋‹ค. ๋˜ํ•œ, 250โ„ƒ ์ด์ƒ์—์„œ 30๋ถ„ ์ด์ƒ ์—ด์ฒ˜๋ฆฌ๋ฅผ ํ•˜์˜€์„ ๋•Œ Cr2O3 ๊ณ„๋ฉด์ธต์ด ํ˜•์„ฑ๋จ์„ ์•Œ ์ˆ˜ ์žˆ์—ˆ๋‹ค. ์ฆ‰, ํ˜„์žฌ ๋ฐฐ์„  ๊ณต์ • ์˜จ๋„๊ฐ€ 400ยฐC ๋ฏธ๋งŒ์ด๊ธฐ ๋•Œ๋ฌธ์— Co-Cr ํ•ฉ๊ธˆ์ด ๋ฐฐ์„  ๊ณต์ •์— ์ ์šฉ ๊ฐ€๋Šฅํ•จ์„ ํ™•์ธํ•˜์˜€๋‹ค. TDDB ์ˆ˜๋ช… ํ…Œ์ŠคํŠธ๋„ ์ˆ˜ํ–‰๋˜์—ˆ์œผ๋ฉฐ Co-Cr ํ•ฉ๊ธˆ ๋ฐฐ์„ ์€ ์ž์ฒด ํ˜•์„ฑ๋œ ๊ณ„๋ฉด์ธต์˜ ๋งค์šฐ ์•ˆ์ •์ ์ธ ํ™•์‚ฐ ์žฅ๋ฒฝ ํŠน์„ฑ์„ ๋ณด์—ฌ์ฃผ์—ˆ๋‹ค. DFT ๋ถ„์„์€ Cr2O3์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์ด ํ˜„์žฌ ์—ฐ๊ตฌ๋˜๊ณ  ์žˆ๋Š” TiN ํ™•์‚ฐ ์žฅ๋ฒฝ๋ณด๋‹ค ๋” ๋†’์€ ์—๋„ˆ์ง€ ์žฅ๋ฒฝ ๊ฐ’์„ ๋ณด์—ฌ์ฃผ๊ธฐ ๋•Œ๋ฌธ์— ๋งค์šฐ ์œ ๋งํ•œ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์ž„์„ ๋ณด์—ฌ์ฃผ์—ˆ๋‹ค. ๋ณธ ์—ฐ๊ตฌ๋Š” ๋ฐ˜๋„์ฑ„ ๋ฐฐ์„  ๋ฌผ์งˆ ์‹œ์Šคํ…œ์—์„œ ์„ฑ๋Šฅ๊ณผ ์‹ ๋ขฐ์„ฑ์„ ๊ณ ๋ คํ•œ ์—ด์—ญํ•™์  ๊ณ„์‚ฐ์„ ํ†ตํ•ด Co ๊ธฐ๋ฐ˜ ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์„ ์„ค๊ณ„ํ•˜์˜€๋‹ค. ์‹คํ—˜ ๊ฒฐ๊ณผ ์‹ ๋ขฐ์„ฑ์ด ์šฐ์ˆ˜ํ•˜๊ณ  ์•„์ฃผ ์–‡์€ Cr2O3 ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์ด ์žˆ๋Š” Co-Cr ํ•ฉ๊ธˆ์ด ์ œ์•ˆํ•˜์˜€๋‹ค. ๋ฌผ์งˆ ์„ค๊ณ„์™€ ์ „๊ธฐ์  ์‹ ๋ขฐ์„ฑ ๊ฒ€์ฆ์„ Co/Cr2O3/SiO2 ๋ฌผ์งˆ ์‹œ์Šคํ…œ์„ ์ œ์•ˆํ•˜์˜€๊ณ  ์•ž์œผ๋กœ์˜ ๋‹ค๊ฐ€์˜ฌ ์ฐจ์„ธ๋Œ€ ๋ฐฐ์„ ์—์„œ ๊ตฌํ˜„๋  ์ˆ˜ ์žˆ์„ ๊ฒƒ์œผ๋กœ ๊ธฐ๋Œ€๋œ๋‹ค.Abstract i Table of Contents v List of Tables ix List of Figures xii Chapter 1. Introduction 1 1.1. Scaling down of VLSI systems 1 1.2. Driving force of interconnect system evolution 7 1.3. Driving force of beyond Cu interconnects 11 1.4. Objective of the thesis 18 1.5. Organization of the thesis 21 Chapter 2. Theoretical Background 22 2.1. Evolution of interconnect systems 22 2.1.1. Cu/barrier/low-k interconnect system 22 2.1.2. Process developments for interconnect reliability 27 2.1.3. 3rd generation of interconnect system 31 2.2 Thermodynamic tools for Co self-forming barrier 42 2.2.1 Binary phase diagram 42 2.2.2 Ellingham diagram 42 2.2.3 Activity coefficient 43 2.3. Reliability of Interconnects 45 2.3.1. Current conduction mechanisms in dielectrics 45 2.3.2. Reliability test vehicles 50 2.3.3. Dielectric breakdown assessment 52 2.3.4. Dielectric breakdown mechanisms 55 2.3.5. Reliability test: VRDB and TDDB 56 2.3.6. Lifetime models 57 Chapter 3. Experimental Procedures 60 3.1. Thin film deposition 60 3.1.1. Substrate preparation 60 3.1.2. Oxidation 61 3.1.3. Co alloy deposition using DC magnetron sputtering 61 3.1.4. Annealing process 65 3.2. Thin film characterization 67 3.2.1. Sheet resistance 67 3.2.2. X-ray photoelectron spectroscopy (XPS) 68 3.3. Metal-Insulator-Semiconductor (MIS) device fabrication 70 3.3.1. Patterning using lift-off process 70 3.3.2. TDDB packaging 72 3.4. Reliability analysis 74 3.4.1. Electrical reliability analysis 74 3.4.2. Transmission electron microscopy (TEM) analysis 75 3.5. Computation 76 3.5.1 FactsageTM calculation 76 3.5.2. Density Functional Theory (DFT) calculation 77 Chapter 4. Co Alloy Design for Advanced Interconnects 78 4.1. Material design of Co alloy self-forming barrier 78 4.1.1. Rule of thumb of Co-X alloy 78 4.1.2. Co alloy phase 80 4.1.3. Out-diffusion stage 81 4.1.4. Reaction step with SiO2 dielectric 89 4.1.5. Comparison criteria 94 4.2. Comparison of Co alloy candidates 97 4.2.1. Thin film resistivity evaluation 97 4.2.2. Self-forming behavior using XPS depth profile analysis 102 4.2.3. MIS device reliability test 110 4.3 Summary 115 Chapter 5. Co-Cr Alloy Interconnect with Robust Self-Forming Barrier 117 5.1. Compatibility of Co-Cr alloy SFB process 117 5.1.1. Effect of Cr doping concentration 117 5.1.2. Annealing process condition optimization 119 5.2. Reliability of Co-Cr interconnects 122 5.2.1. VRDB quality test with Co-Cr alloys 122 5.2.2. Lifetime evaluation using TDDB method 141 5.2.3. Barrier mechanism using DFT 142 5.3. Summary 145 Chapter 6. Conclusion 148 6.1. Summary of results 148 6.2. Research perspectives 150 References 151 Abstract (In Korean) 166 Curriculum Vitae 169๋ฐ•

    Comparative study of the breakdown transients of thin Al2O3 and HfO2 films in MIM structures and their connection with the thermal properties of materials

    Get PDF
    In this work, the breakdown transients of Al2O3- and HfO2 based metal-insulator-metal (MIM) stacks with the same oxide thickness and identical metal electrodes were compared. Their connection with the thermal properties of the materials was investigated using alternative experimental setups. The differences and similarities between these transients in the fast and progressive breakdown regimes were assessed. According to the obtained results, Al2O3 exhibits longer breakdown transients than HfO2 and requires a higher voltage to initiate a very fast current runaway across the dielectric film. This distinctive behavior is ascribed to the higher thermal conductivity of Al2O3. Overall results link the breakdown process to the thermal properties of the oxides under test rather than to dissipation effects occurring at the metal electrodes.Fil: Pazos, Sebastiรกn Matรญas. Universidad Tecnolรณgica Nacional. Facultad Regional Buenos Aires; Argentina. Comisiรณn Nacional de Energรญa Atรณmica; Argentina. Consejo Nacional de Investigaciones Cientรญficas y Tรฉcnicas; ArgentinaFil: Aguirre, Fernando Leonel. Universidad Tecnolรณgica Nacional. Facultad Regional Buenos Aires; Argentina. Comisiรณn Nacional de Energรญa Atรณmica; Argentina. Consejo Nacional de Investigaciones Cientรญficas y Tรฉcnicas; ArgentinaFil: Miranda, Enrique. Universitat Autรฒnoma de Barcelona; EspaรฑaFil: Lombardo, Salvatore. Consiglio Nazionale delle Ricerche; ItaliaFil: Palumbo, Fรฉlix Roberto Mario. Universidad Tecnolรณgica Nacional. Facultad Regional Buenos Aires; Argentina. Comisiรณn Nacional de Energรญa Atรณmica; Argentina. Consejo Nacional de Investigaciones Cientรญficas y Tรฉcnicas; Argentin

    Deuterium in the gate dielectric of CMOS devices

    Get PDF
    Most of the electronic integrated circuits used today are Complementary MOS (CMOS) circuits, which consist mainly of Metal-Oxide-Semiconductor Field-Effect Transistors (MOSFETs). In the last forty years there has been a tremendous reduction of the MOSFET dimensions.\ud This reduction will continue, enabling even faster and more complex integrated circuits. But, there are a number of hurdles on the road. One of these hurdles is the thickness reduction of an essential electrically isolating layer inside the MOSFET, the so-called gate dielectric. This gate dielectric is becoming so thin, it starts to leak electrical current under operating conditions. This increases the power consumption and can lead to a non-functional transistor. Reliability is also of concern, because the gate dielectric deteriorates under device operation, leading to even larger leakage currents.\u

    Yield and Reliability Analysis for Nanoelectronics

    Get PDF
    As technology has continued to advance and more break-through emerge, semiconductor devices with dimensions in nanometers have entered into all spheres of our lives. Accordingly, high reliability and high yield are very much a central concern to guarantee the advancement and utilization of nanoelectronic products. However, there appear to be some major challenges related to nanoelectronics in regard to the field of reliability: identification of the failure mechanisms, enhancement of the low yields of nano products, and management of the scarcity and secrecy of available data [34]. Therefore, this dissertation investigates four issues related to the yield and reliability of nanoelectronics. Yield and reliability of nanoelectronics are affected by defects generated in the manufacturing processes. An automatic method using model-based clustering has been developed to detect the defect clusters and identify their patterns where the distribution of the clustered defects is modeled by a new mixture distribution of multivariate normal distributions and principal curves. The new mixture model is capable of modeling defect clusters with amorphous, curvilinear, and linear patterns. We evaluate the proposed method using both simulated and experimental data and promising results have been obtained. Yield is one of the most important performance indexes for measuring the success of nano fabrication and manufacturing. Accurate yield estimation and prediction is essential for evaluating productivity and estimating production cost. This research studies advanced yield modeling approaches which consider the spatial variations of defects or defect counts. Results from real wafer map data show that the new yield models provide significant improvement in yield estimation compared to the traditional Poisson model and negative binomial model. The ultra-thin SiO2 is a major factor limiting the scaling of semiconductor devices. High-k gate dielectric materials such as HfO2 will replace SiO2 in future generations of MOS devices. This study investigates the two-step breakdown mechanisms and breakdown sequences of double-layered high-k gate stacks by monitoring the relaxation of the dielectric films. The hazard rate is a widely used metric for measuring the reliability of electronic products. This dissertation studies the hazard rate function of gate dielectrics breakdown. A physically feasible failure time distribution is used to model the time-to-breakdown data and a Bayesian approach is adopted in the statistical analysis
    • โ€ฆ
    corecore