2,847 research outputs found

    InVERT molding for scalable control of tissue microarchitecture

    Get PDF
    Complex tissues contain multiple cell types that are hierarchically organized within morphologically and functionally distinct compartments. Construction of engineered tissues with optimized tissue architecture has been limited by tissue fabrication techniques, which do not enable versatile microscale organization of multiple cell types in tissues of size adequate for physiological studies and tissue therapies. Here we present an ‘Intaglio-Void/Embed-Relief Topographic molding’ method for microscale organization of many cell types, including induced pluripotent stem cell-derived progeny, within a variety of synthetic and natural extracellular matrices and across tissues of sizes appropriate for in vitro, pre-clinical, and clinical studies. We demonstrate that compartmental placement of non-parenchymal cells relative to primary or induced pluripotent stem cell-derived hepatocytes, compartment microstructure, and cellular composition modulate hepatic functions. Configurations found to sustain physiological function in vitro also result in survival and function in mice for at least 4 weeks, demonstrating the importance of architectural optimization before implantation.National Institutes of Health (U.S.) (EB008396)National Institutes of Health (U.S.) (DK56966)National Cancer Institute (U.S.) (Cancer Center Support Core Grant P30-CA14051)National Institutes of Health (U.S.). Ruth L. Kirschstein National Research Service Award (1F32DK091007)National Institutes of Health (U.S.). Ruth L. Kirschstein National Research Service Award (1F32DK095529)National Science Foundation (U.S.). Graduate Research Fellowship Program (1122374

    Abundant variation in microsatellites of the parasitic nematode Trichostrongylus tenuis and linkage to a tandem repeat

    Get PDF
    An understanding of how genes move between and within populations of parasitic nematodes is important in combating the evolution and spread of anthelmintic resistance. Much has been learned by studying mitochondrial DNA markers, but autosomal markers such as microsatellites have been applied to only a few nematode species, despite their many advantages for studying gene flow in eukaryotes. Here, we describe the isolation of 307 microsatellites from Trichostrongylus tenuis, an intestinal nematode of red grouse. High levels of variation were revealed at sixteen microsatellite loci (including three sex-lined loci) in 111 male T. tenuis nematodes collected from four hosts at a single grouse estate in Scotland (average He = 0.708; mean number of alleles = 12.2). A population genetic analysis detected no deviation from panmixia either between (F(ST) = 0.00) or within hosts (F(IS) = 0.015). We discuss the feasibility of developing microsatellites in parasitic nematodes and the problem of null alleles. We also describe a novel 146-bp repeat element, TteREP1, which is linked to two-thirds of the microsatellites sequenced and is associated with marker development failure. The sequence of TteREP1 is related to the TcREP-class of repeats found in several other trichostrongyloid species including Trichostrongylus colubriformis and Haemonchus contortus

    LLM for SoC Security: A Paradigm Shift

    Full text link
    As the ubiquity and complexity of system-on-chip (SoC) designs increase across electronic devices, the task of incorporating security into an SoC design flow poses significant challenges. Existing security solutions are inadequate to provide effective verification of modern SoC designs due to their limitations in scalability, comprehensiveness, and adaptability. On the other hand, Large Language Models (LLMs) are celebrated for their remarkable success in natural language understanding, advanced reasoning, and program synthesis tasks. Recognizing an opportunity, our research delves into leveraging the emergent capabilities of Generative Pre-trained Transformers (GPTs) to address the existing gaps in SoC security, aiming for a more efficient, scalable, and adaptable methodology. By integrating LLMs into the SoC security verification paradigm, we open a new frontier of possibilities and challenges to ensure the security of increasingly complex SoCs. This paper offers an in-depth analysis of existing works, showcases practical case studies, demonstrates comprehensive experiments, and provides useful promoting guidelines. We also present the achievements, prospects, and challenges of employing LLM in different SoC security verification tasks.Comment: 42 page

    Formal connectivity verification of clock and reset signals in ultra-low-power SoC designs

    Get PDF
    Abstract. This thesis investigates the usage of formal connectivity verification on clock and reset signal connectivity in ultra-low-power SoC designs. The origin of power consumption in CMOS circuits is explained, and the conflict between dynamic and static power on system parameter level is introduced. Common power reduction techniques are introduced and explained in some detail. Overview of functional verification and its role in the design flow is presented. The main classification of functional verification into logic simulation and formal verification is discussed, and details of both are explained and compared. Challenges rising from low power design methodologies are introduced. Detailed view of connectivity and integration in SoC designs is provided, and a specified method of verifying connectivity is introduced in the form of formal connectivity verification. The practical part of the thesis starts with an explanation of the verification goal and requirements for achieving it. Structure of the design environment used in the verification task is explained, and the different stages that the verification was conducted on. Creation of used connectivity properties and the used process flow for the chosen software tool is presented. The process of confirming falsified properties as design bugs is introduced. The results of the verification task are presented, providing the total target amount for each verification stage, as well as the found bugs. The found bugs and their circumstances are explained. Comparison is made between the conventional method of verifying connectivity and the investigated formal method. Results show a great decrease in overall work effort, resourcing and time spent on the connectivity verification.Formaali liitettÀvyysverifiointi kello- ja reset-signaaleille ultra-matalan tehonkulutuksen jÀrjestelmÀpiireissÀ. TiivistelmÀ. TÀmÀ diplomityö tutkii formaalin liitettÀvyysverifionnin kÀyttöÀ kello- ja reset-signaalien yhteyksille ultra-matalan tehonkulutuksen jÀrjestelmÀpiireissÀ. Tehonkulutuksen lÀhteet CMOS piireissÀ selitetÀÀn, ja esitetÀÀn konflikti dynaamisen ja staattisen tehonkulutuksen vÀlillÀ systeemin parametritasolla. Tavanomaisia tehonkulutusta vÀhentÀviÀ tekniikoita esitellÀÀn ja selitetÀÀn jossain mÀÀrin. Funktionaalisen verifioinnin yleiskatsaus ja asema suunnitteluvuossa esitellÀÀn. Funktionaalisen verifioinnin pÀÀjaottelua logiikkasimulaatioon ja formaaliin verifiointiin kÀsitellÀÀn, ja molempien yksityiskohtia selitetÀÀn ja vertaillaan. Matalan tehonkulutuksen metodologioiden aiheuttamat ongelmat esitetÀÀn. Yksityiskohtainen kuvaus liitettÀvyydestÀ ja integroinnista jÀrjestelmÀpiireissÀ selitetÀÀn, ja eritelty metodi liitettÀvyyden verifioimiselle esitellÀÀn formaalin liitettÀvyysverifionnin muodossa. KÀytÀnnön osuus diplomityöstÀ alkaa verifoinnin tavoitteen ja vaatimusten esittelemisellÀ. KÀytetyn mallin rakenne ja verifiointitehtÀvÀ selitetÀÀn, sekÀ eri tasot joilla verifiointi suoritettiin. LiitettÀvyys-ominaisuuksien luominen, sekÀ kÀytetty prosessivuo valitulle työkalulle esitetÀÀn. VÀÀriksi todistettujen ominaisuuksien varmistaminen suunnitteluvirheiksi esitellÀÀn. Tulokset verifointitehtÀvÀstÀ esitellÀÀn, kÀsitellen verifioinnin kohteiden kokonaista lukumÀÀrÀÀ molemmilla verifiointitasoilla, sekÀ niistÀ löydettyjen virheiden mÀÀrÀÀ. Löydetyt suunnitteluvirheet ja niiden seikkaperÀt selitetÀÀn. Vertailua tehdÀÀn perinteisen liitettÀvyyden verifionnin metodin ja tutkitun formaalin metodin vÀlillÀ. Tulokset osoittavat suuren sÀÀstön kokonaisessa työmÀÀrÀssÀ, resurssoinnissa sekÀ liitettÀvyyden verifiointiin kulutetussa ajassa

    Tactics, Techniques and Procedures (TTPs) to Augment Cyber Threat Intelligence (CTI): A Comprehensive Study

    Get PDF
    Sharing Threat Intelligence is now one of the biggest trends in cyber security industry. Today, no one can deny the necessity for information sharing to fight the cyber battle. The massive production of raw and redundant data coupled with the increasingly innovative attack vectors of the perpetrators demands an ecosystem to scrutinize the information, detect and react to take a defensive stance. Having enough sources for threat intelligence or having too many security tools are the least of our problems. The main challenge lies in threat knowledge management, interoperability between different security tools and then converting these filtered data into actionable items across multiple devices. Large datasets may help filtering the massive information gathering, open standards may somewhat facilitate the interoperability issues, and machine learning may partly aid the learning of malicious traits and features of attack, but how do we coordinate the actionable responses across devices, networks, and other ecosystems to be proactive rather than reactive? This paper presents a study of current threat intelligence landscape (Tactic), information sources, basic Indicators of Compromise (IOCs) (Technique) and STIX and TAXII standard as open source frameworks (Procedure) to augment Cyber Threat Intelligence (CTI) sharing

    Automated functional coverage driven verification with Universal Verification Methodology

    Get PDF
    Abstract. In this Master’s thesis, the validity of Universal Verification Methodology in digital design verification is studied. A brief look into the methodology’s history is taken, and its unique properties and object-oriented features are presented. Important coverage topics in project planning are discussed, and the two main types of coverage, code and functional coverage, are explained and the methods how they are captured are presented. The practical section of this thesis shows the implementation of a monitoring environment and an Universal Verification Methodology environment. The monitoring environment includes class-based components that are used to collect functional coverage from an existing SystemVerilog test bench. The Universal Verification Methodology environment uses the same monitoring system, but a different driving setup to stress the design under test. Coverage and simulation performance values are extracted and from all test benches and the data is compared. The results indicate that the Universal Verification Methodology environment incorporating constrained random stimulus is capable of faster simulation run times and better code coverage values. The simulation time measured was up to 26 % faster compared to a module-based environment.Automaattinen toiminnallisen kattavuuden ohjaama verifiointi universaalilla varmennusmenetelmĂ€llĂ€. TiivistelmĂ€. TĂ€ssĂ€ diplomityössĂ€ tutkitaan universaalin varmennusmenetelmĂ€n (Universal Verification Methodology) soveltuvuutta digitaalisten laitteiden verifiointiin. TyössĂ€ tehdÀÀn lyhyt katsaus menetelmĂ€n historiaan. LisĂ€ksi menetelmĂ€n omia ainutlaatuisia ja olio-pohjaisia ominaisuuksia kĂ€ydÀÀn lĂ€pi. Kattavuuteen liittyviĂ€ kĂ€sitteitĂ€ esitetÀÀn projektihallinnan nĂ€kökulmasta. Kattavuudesta kĂ€sitellÀÀn toiminnallinen ja koodikattavuus, ja tavat, miten nĂ€itĂ€ molempia kerĂ€tÀÀn simulaatioista. Työn kĂ€ytĂ€nnön osuudessa esitetÀÀn monitorointiympĂ€ristön ja universaalin varmennusmenetelmĂ€n pohjalta tehdyn ympĂ€ristön toteutus. Monitorointi-ympĂ€ristössĂ€ on luokkapohjaisia komponentteja, joiden avulla kerĂ€tÀÀn toiminnallista kattavuutta jo olemassa olevasta testipenkistĂ€. Universaalin varmennusmenetelmĂ€n pohjalta tehdyssĂ€ ympĂ€ristössĂ€ on samojen monitorointikomponenttien lisĂ€ksi testattavan kohteen ohjaamiseen vaadittavia komponentteja. Eri testipenkeistĂ€ kerĂ€tÀÀn kattavuuteen ja suorituskykyyn liittyvÀÀ dataa vertaamista varten. Tulokset viittaavat siihen, ettĂ€ rajoitettua satunnaista herĂ€tettĂ€ hyödykseen kĂ€yttĂ€vĂ€t universaalit varmennusmenetelmĂ€ympĂ€ristöt pÀÀsevĂ€t nopeampiin suoritusaikoihin ja parempiin koodikattavuuslukuihin. Simulaation suoritusaikaan saatiin parhaassa tapauksessa jopa 26 % parannus

    Cyber Anomaly Detection: Using Tabulated Vectors and Embedded Analytics for Efficient Data Mining

    Get PDF
    Firewalls, especially at large organizations, process high velocity internet traffic and flag suspicious events and activities. Flagged events can be benign, such as misconfigured routers, or malignant, such as a hacker trying to gain access to a specific computer. Confounding this is that flagged events are not always obvious in their danger and the high velocity nature of the problem. Current work in firewall log analysis is manual intensive and involves manpower hours to find events to investigate. This is predominantly achieved by manually sorting firewall and intrusion detection/prevention system log data. This work aims to improve the ability of analysts to find events for cyber forensics analysis. A tabulated vector approach is proposed to create meaningful state vectors from time-oriented blocks. Multivariate and graphical analysis is then used to analyze state vectors in human–machine collaborative interface. Statistical tools, such as the Mahalanobis distance, factor analysis, and histogram matrices, are employed for outlier detection. This research also introduces the breakdown distance heuristic as a decomposition of the Mahalanobis distance, by indicating which variables contributed most to its value. This work further explores the application of the tabulated vector approach methodology on collected firewall logs. Lastly, the analytic methodologies employed are integrated into embedded analytic tools so that cyber analysts on the front-line can efficiently deploy the anomaly detection capabilities
    • 

    corecore