63 research outputs found

    Integrated transmitter circuit for multiport reconfigurable antenna

    Get PDF
    This master’s thesis was a part of an academic research projecta where the target is to design an integrated circuit (IC) to dynamically tune the operating frequency of a transmitter antenna. A multiport antenna model was provided by Prof. Viikari’s group who recently presented a novel idea of multiport antenna tuning. In this concept the multiport antenna feeds are excited with weighted signals having certain amplitudes and phases, thus leading to antenna tuning at the desired operating frequency. However, it is not feasible to dynamically scale the antenna feeding signal amplitudes and phases with discrete electronics. Therefore, the system on chip solution (SoC) approach was studied in this thesis. Initially, the concept was studied on theoretical level and with circuit simulations. The tuning analysis framework was developed to scrutinize the antenna weighted signal characteristics. This analysis provides the two most important specifications for the IC i.e., the accuracy required for on-chip amplitude and phase tuning. For the antenna under consideration, the on chip phase and amplitude tuning system have 6 bit and 3 bit scaling resolutions respectively. The tuning system is designed for a 4-port reconfigurable antenna where each antenna feed has a separate phase tuning and amplitude tuning block. The tuning system was simulated along the 4-port antenna at 2 GHz, and the simulation result validates the multiport tuning concept. This novel integrated tuning system is scalable as well as capable of tuning any reconfigurable multiport antenna

    Techniques of Energy-Efficient VLSI Chip Design for High-Performance Computing

    Get PDF
    How to implement quality computing with the limited power budget is the key factor to move very large scale integration (VLSI) chip design forward. This work introduces various techniques of low power VLSI design used for state of art computing. From the viewpoint of power supply, conventional in-chip voltage regulators based on analog blocks bring the large overhead of both power and area to computational chips. Motivated by this, a digital based switchable pin method to dynamically regulate power at low circuit cost has been proposed to make computing to be executed with a stable voltage supply. For one of the widely used and time consuming arithmetic units, multiplier, its operation in logarithmic domain shows an advantageous performance compared to that in binary domain considering computation latency, power and area. However, the introduced conversion error reduces the reliability of the following computation (e.g. multiplication and division.). In this work, a fast calibration method suppressing the conversion error and its VLSI implementation are proposed. The proposed logarithmic converter can be supplied by dc power to achieve fast conversion and clocked power to reduce the power dissipated during conversion. Going out of traditional computation methods and widely used static logic, neuron-like cell is also studied in this work. Using multiple input floating gate (MIFG) metal-oxide semiconductor field-effect transistor (MOSFET) based logic, a 32-bit, 16-operation arithmetic logic unit (ALU) with zipped decoding and a feedback loop is designed. The proposed ALU can reduce the switching power and has a strong driven-in capability due to coupling capacitors compared to static logic based ALU. Besides, recent neural computations bring serious challenges to digital VLSI implementation due to overload matrix multiplications and non-linear functions. An analog VLSI design which is compatible to external digital environment is proposed for the network of long short-term memory (LSTM). The entire analog based network computes much faster and has higher energy efficiency than the digital one

    High Frequency, High Linearity and Low Noise Digital to Time Converter for Phase Adjustment

    Get PDF
    Nowadays, fast communication systems have become vital for our lifestyle. As a result, the digital PLL fulfils a very important role as frequency synthesizer, demodulator or distributor of clock signals in microprocessors and similar digital circuits. Thus, the correction of the signal using a phase adjust- ment is essential for the good operation of the PLL. In this work, it is proposed a variable slope digital to time converter (DTC), as a programmable delay line, used for the correction of the phase of a digital PLL. The work is focused on the study of the performance of the circuit, through the evaluation of fundamental parameters such as RMS jitter, line- arity, resolution and delay range. Accordingly, it is employed a 4-bit topology using 130 nm MOSFET technology. The in- tended DTC takes advantage of CMOS inverters, due to their simplicity and low noise, and capacitors, for the programmable delay RC network. The DTC functioning is based on the activation of switching transistors to trigger the programmable capacitors, through a code to define the number of capacitors that introduce delay. The circuit is complemented with a simple CMOS inverter as a comparator that triggers when the threshold voltage is attained and an output buffer employed to correct the slopes of the signal. The proposed DTC proposed is a single-ended architecture that achieves 52.50 fs RMS jitter, and the resulting DNL and INL are equivalent to 0.1124 LSB and 0.09773 LSB, respectively. The 4-bit de- lay line has a resolution of 15.2 ps, an area of 0.018 mm2 and a power consumption of 62.8 μW from a 1.2 V low dropout regulator (LDO).Atualmente, os sistemas de comunicação rápida tornaram-se vitais para o nosso estilo de vida. Como resultado, a PLL digital apresenta um papel importante em funções como sintetizador de frequên- cia, demodulador ou distribuidor de sinais de relógio de microprocessadores ou circuitos digitais seme- lhantes. Assim, a correção do sinal utilizando um ajuste de fase é essencial para o bom funcionamento da PLL. Neste trabalho, é proposto um conversor digital para tempo de inclinação de curva variável, como uma linha de atraso programável, utilizada para corrigir a fase de uma PLL digital. Este trabalho é focado no estudo da performance do dispositivo, através da avaliação de parâme- tros fundamentais como RMS jitter, linearidade, resolução e range de atraso. Desta forma, a topologia implementada utiliza 4 bits e tecnologia MOSFET 130 . O conversor digital para tempo é criado utilizando inversores CMOS, que têm as vantagens de apresentar simplicidade e baixo ruído, e condensadores, utilizados para programar a rede de atraso de RC. Este funciona com base na ativação de transístores, empregues como interruptores para acionar os conden- sadores programáveis, através de um código que define o número de condensadores ligados que intro- duzem atraso. O circuito é complementado com um inversor CMOS como comparador que é acionado quando a voltagem de threshold é atingida e um buffer de saída implementado para corrigir a inclinação das curvas. O respetivo conversor apresenta uma arquitetura com uma única saída que é capaz de atingir 52.50 fs RMS jitter, e possuí DNL e INL equivalente a 0.1124 LSB e 0.09773 LSB, respetivamente. A linha de atraso de 4 bits tem uma resolução de 15.2 ps, uma área de 0.018 mm2 e um consumo de potência de 62.8 μW vindo de um regulador de baixa queda de tensão de 1.2 V

    LOW-POWER LOW-VOLTAGE ANALOG CIRCUIT TECHNIQUES FOR WIRELESS SENSORS

    Get PDF
    This research investigates lower-power lower-voltage analog circuit techniques suitable for wireless sensor applications. Wireless sensors have been used in a wide range of applications and will become ubiquitous with the revolution of internet of things (IoT). Due to the demand of low cost, miniature desirable size and long operating cycle, passive wireless sensors which don\u27t require battery are more preferred. Such sensors harvest energy from energy sources in the environment such as radio frequency (RF) waves, vibration, thermal sources, etc. As a result, the obtained energy is very limited. This creates strong demand for low power, lower voltage circuits. The RF and analog circuits in the wireless sensor usually consume most of the power. This motivates the research presented in the dissertation. Specially, the research focuses on the design of a low power high efficiency regulator, low power Resistance to Digital Converter (RDC), low power Successive Approximation Register (SAR) Analog to Digital Converter (ADC) with parasitic error reduction and a low power low voltage Low Dropout (LDO) regulator. This dissertation includes a low power analog circuit design for the RFID wireless sensor which consists of the energy harvest circuits (an optimized rectifier and a regulator with high current efficiency) and a sensor measurement circuit (RDC), a single end sampling SAR ADC with no error induced by the parasitic capacitance and a digital loop LDO whose line and load variation response is improved. These techniques will boost the design of the wireless sensor and they can also be used in other similar low power design

    Front End of a 900MHz RFID for Biological Sensing

    Get PDF
    This thesis presents the front end of a 900MHz passive RFID for biological sensing. The components blocks of the front end consist of power harvester, switch capacitor voltage regulator, phase lock loop and a modulator and demodulator. As the RFID is passive so the power resource is limited hence the main focus while implementing all the block was low power and high efficiency power conversion. All the individual block were optimized to provide maximum efficiency. For the harvester to achieve high efficiency and high output voltage a design approach is discussed by which the device sizes are optimized and the values of the matching network components are solved. The efficiency achieved with this approach is 34% while supplying 74�[email protected]. The switch capacitor voltage regulator would supply power to the digital core of the RFID, which will operate at subtheshold or moderate inversion. The switch capacitor implemented in this work is a adaptive voltage regulator, as I intend to use the dynamic supply voltage scaling technique to compensate for the reduction in reliability of performance of the circuit due to variation of VTH across process due to random doping effects and temperature in subthreshold.The phase lock loop (PLL) block in this front end provide the system clock synchronized with the base station to all the backend blocks like the digital controller, memory, and the analog to digital converter ADC and the switch capacitor voltage regulator. The PLL is a low power with jitter of 24nsec and is capable of clock data recovery from EPC gen 2 protocol format data and consumes 3�W of power Finally a ultra low power AM (amplitude modulation) demodulator is presented which is consumes only 100nW and is capable of demodulating a double-sideband amplitude modulated (DSB-AM) signal centered at 900MHz and the modulating frequency is 160KHz. The demodulator can demodulate signal having as low as -5dBm power and 50% modulation index. The modulation for transmitting signal is achieved by BPSK(back scatter phase shift keying).Electrical Engineerin

    Scalable Analysis, Verification and Design of IC Power Delivery

    Get PDF
    Due to recent aggressive process scaling into the nanometer regime, power delivery network design faces many challenges that set more stringent and specific requirements to the EDA tools. For example, from the perspective of analysis, simulation efficiency for large grids must be improved and the entire network with off-chip models and nonlinear devices should be able to be analyzed. Gated power delivery networks have multiple on/off operating conditions that need to be fully verified against the design requirements. Good power delivery network designs not only have to save the wiring resources for signal routing, but also need to have the optimal parameters assigned to various system components such as decaps, voltage regulators and converters. This dissertation presents new methodologies to address these challenging problems. At first, a novel parallel partitioning-based approach which provides a flexible network partitioning scheme using locality is proposed for power grid static analysis. In addition, a fast CPU-GPU combined analysis engine that adopts a boundary-relaxation method to encompass several simulation strategies is developed to simulate power delivery networks with off-chip models and active circuits. These two proposed analysis approaches can achieve scalable simulation runtime. Then, for gated power delivery networks, the challenge brought by the large verification space is addressed by developing a strategy that efficiently identifies a number of candidates for the worst-case operating condition. The computation complexity is reduced from O(2^N) to O(N). At last, motivated by a proposed two-level hierarchical optimization, this dissertation presents a novel locality-driven partitioning scheme to facilitate divide-and-conquer-based scalable wire sizing for large power delivery networks. Simultaneous sizing of multiple partitions is allowed which leads to substantial runtime improvement. Moreover, the electric interactions between active regulators/converters and passive networks and their influences on key system design specifications are analyzed comprehensively. With the derived design insights, the system-level co-design of a complete power delivery network is facilitated by an automatic optimization flow. Results show significant performance enhancement brought by the co-design

    Bidirectional Neural Interface Circuits with On-Chip Stimulation Artifact Reduction Schemes

    Full text link
    Bidirectional neural interfaces are tools designed to “communicate” with the brain via recording and modulation of neuronal activity. The bidirectional interface systems have been adopted for many applications. Neuroscientists employ them to map neuronal circuits through precise stimulation and recording. Medical doctors deploy them as adaptable medical devices which control therapeutic stimulation parameters based on monitoring real-time neural activity. Brain-machine-interface (BMI) researchers use neural interfaces to bypass the nervous system and directly control neuroprosthetics or brain-computer-interface (BCI) spellers. In bidirectional interfaces, the implantable transducers as well as the corresponding electronic circuits and systems face several challenges. A high channel count, low power consumption, and reduced system size are desirable for potential chronic deployment and wider applicability. Moreover, a neural interface designed for robust closed-loop operation requires the mitigation of stimulation artifacts which corrupt the recorded signals. This dissertation introduces several techniques targeting low power consumption, small size, and reduction of stimulation artifacts. These techniques are implemented for extracellular electrophysiological recording and two stimulation modalities: direct current stimulation for closed-loop control of seizure detection/quench and optical stimulation for optogenetic studies. While the two modalities differ in their mechanisms, hardware implementation, and applications, they share many crucial system-level challenges. The first method aims at solving the critical issue of stimulation artifacts saturating the preamplifier in the recording front-end. To prevent saturation, a novel mixed-signal stimulation artifact cancellation circuit is devised to subtract the artifact before amplification and maintain the standard input range of a power-hungry preamplifier. Additional novel techniques have been also implemented to lower the noise and power consumption. A common average referencing (CAR) front-end circuit eliminates the cross-channel common mode noise by averaging and subtracting it in analog domain. A range-adapting SAR ADC saves additional power by eliminating unnecessary conversion cycles when the input signal is small. Measurements of an integrated circuit (IC) prototype demonstrate the attenuation of stimulation artifacts by up to 42 dB and cross-channel noise suppression by up to 39.8 dB. The power consumption per channel is maintained at 330 nW, while the area per channel is only 0.17 mm2. The second system implements a compact headstage for closed-loop optogenetic stimulation and electrophysiological recording. This design targets a miniaturized form factor, high channel count, and high-precision stimulation control suitable for rodent in-vivo optogenetic studies. Monolithically integrated optoelectrodes (which include 12 µLEDs for optical stimulation and 12 electrical recording sites) are combined with an off-the-shelf recording IC and a custom-designed high-precision LED driver. 32 recording and 12 stimulation channels can be individually accessed and controlled on a small headstage with dimensions of 2.16 x 2.38 x 0.35 cm and mass of 1.9 g. A third system prototype improves the optogenetic headstage prototype by furthering system integration and improving power efficiency facilitating wireless operation. The custom application-specific integrated circuit (ASIC) combines recording and stimulation channels with a power management unit, allowing the system to be powered by an ultra-light Li-ion battery. Additionally, the µLED drivers include a high-resolution arbitrary waveform generation mode for shaping of µLED current pulses to preemptively reduce artifacts. A prototype IC occupies 7.66 mm2, consumes 3.04 mW under typical operating conditions, and the optical pulse shaping scheme can attenuate stimulation artifacts by up to 3x with a Gaussian-rise pulse rise time under 1 ms.PHDElectrical EngineeringUniversity of Michigan, Horace H. Rackham School of Graduate Studieshttps://deepblue.lib.umich.edu/bitstream/2027.42/147674/1/mendrela_1.pd

    System and Circuit Design Techniques for Silicon-based Multi-band/Multi-standard Receivers

    Get PDF
    Today, the advances in Complementary MetalOxideSemiconductor (CMOS) technology have guided the progress in the wireless communications circuits and systems area. Various new communication standards have been developed to accommodate a variety of applications at different frequency bands, such as cellular communications at 900 and 1800 MHz, global positioning system (GPS) at 1.2 and 1.5 GHz, and Bluetooth andWiFi at 2.4 and 5.2 GHz, respectively. The modern wireless technology is now motivated by the global trend of developing multi-band/multistandard terminals for low-cost and multifunction transceivers. Exploring the unused 10-66 GHz frequency spectrum for high data rate communication is also another trend in the wireless industry. In this dissertation, the challenges and solutions for designing a multi-band/multistandard mobile device is addressed from system-level analysis to circuit implementation. A systematic system-level design methodology for block-level budgeting is proposed. The system-level design methodology focuses on minimizing the power consumption of the overall receiver. Then, a novel millimeter-wave dual-band receiver front-end architecture is developed to operate at 24 and 31 GHz. The receiver relies on a newly introduced concept of harmonic selection that helps to reduce the complexity of the dual-band receiver. Wideband circuit techniques for millimeterwave frequencies are also investigated and new bandwidth extension techniques are proposed for the dual-band 24/31 GHz receiver. These new techniques are applied for the low noise amplifier and millimeter-wave mixer resulting in the widest reported operating bandwidth in K-band, while consuming less power consumption. Additionally, various receiver building blocks, such as a low noise amplifier with reconfigurable input matching network for multi-band receivers, and a low drop-out regulator with high power supply rejection are analyzed and proposed. The low noise amplifier presents the first one with continuously reconfigurable input matching network, while achieving a noise figure comparable to the wideband techniques. The low drop-out regulator presented the first one with high power supply rejection in the mega-hertz frequency range. All the proposed building blocks and architecture in this dissertation are implemented using the existing silicon-based technologies, and resulted in several publications in IEEE Journals and Conferences

    High-Speed Delta-Sigma Data Converters for Next-Generation Wireless Communication

    Get PDF
    In recent years, Continuous-time Delta-Sigma(CT-ΔΣ) analog-to-digital converters (ADCs) have been extensively investigated for their use in wireless receivers to achieve conversion bandwidths greater than 15 MHz and higher resolution of 10 to 14 bits. This dissertation investigates the current state-of-the-art high-speed single-bit and multi-bit Continuous-time Delta-Sigma modulator (CT-ΔΣM) designs and their limitations due to circuit non-idealities in achieving the performance required for next-generation wireless standards. Also, we presented complete architectural and circuit details of a high-speed single-bit and multi-bit CT-ΔΣM operating at a sampling rate of 1.25 GSps and 640 MSps respectively (the highest reported sampling rate in a 0.13 μm CMOS technology node) with measurement results. Further, we propose novel hybrid ΔΣ architecture with two-step quantizer to alleviate the bandwidth and resolution bottlenecks associated with the contemporary CT-ΔΣM topologies. To facilitate the design with the proposed architecture, a robust systematic design method is introduced to determine the loop-filter coefficients by taking into account the non-ideal integrator response, such as the finite opamp gain and the presence of multiple parasitic poles and zeros. Further, comprehensive system-level simulation is presented to analyze the effect of two-step quantizer non-idealities such as the offset and gain error in the sub-ADCs, and the current mismatch between the MSB and LSB elements in the feedback DAC. The proposed novel architecture is demonstrated by designing a high-speed wideband 4th order CT-ΔΣ modulator prototype, employing a two-step quantizer with 5-bits resolution. The proposed modulator takes advantage of the combination of a high-resolution two-step quantization technique and an excess-loop delay (ELD) compensation of more than one clock cycle to achieve lower-power consumption (28 mW), higher dynamic range (\u3e69 dB) with a wide conversion bandwidth (20 MHz), even at a lower sampling rate of 400 MHz. The proposed modulator achieves a Figure of Merit (FoM) of 340 fJ/level

    A compact high-energy particle detector for low-cost deep space missions

    Get PDF
    Over the last few decades particle physics has led to many new discoveries, laying the foundation for modern science. However, there are still many unanswered questions which the next generation of particle detectors could address, potentially expanding our knowledge and understanding of the Universe. Owing to recent technological advancements, electronic sensors are now able to acquire measurements previously unobtainable, creating opportunities for new deep-space high-energy particle missions. Consequently, a new compact instrument was developed capable of detecting gamma rays, neutrons and charged particles. This instrument combines the latest in FPGA System-on-Chip technology as the central processor and a 3x3 array of silicon photomultipliers coupled with an organic plastic scintillator as the detector. Using modern digital pulse shape discrimination and signal processing techniques, the scintillator and photomultiplier combination has been shown to accurately discriminate between the di_erent particle types and provide information such as total energy and incident direction. The instrument demonstrated the ability to capture 30,000 particle events per second across 9 channels - around 15 times that of the U.S. based CLAS detector. Furthermore, the input signals are simultaneously sampled at a maximum rate of 5 GSPS across all channels with 14-bit resolution. Future developments will include FPGA-implemented digital signal processing as well as hardware design for small satellite based deep-space missions that can overcome radiation vulnerability
    corecore