197 research outputs found

    Jitter Analysis and a Benchmarking Figure-of-Merit for Phase-Locked Loops

    Get PDF
    This brief analyzes the jitter as well as the power dissipation of phase-locked loops (PLLs). It aims at defining a benchmark figure-of-merit (FOM) that is compatible with the well-known FOM for oscillators but now extended to an entire PLL. The phase noise that is generated by the thermal noise in the oscillator and loop components is calculated. The power dissipation is estimated, focusing on the required dynamic power. The absolute PLL output jitter is calculated, and the optimum PLL bandwidth that gives minimum jitter is derived. It is shown that, with a steep enough input reference clock, this minimum jitter is independent of the reference frequency and output frequency for a given PLL power budget. Based on these insights, a benchmark FOM for PLL designs is proposed

    An all-digital ฮฃฮ”--frequency discriminator of arbitrary order

    Get PDF
    In this paper, we propose an all-digital frequency synthesizer architecture, based on an all-digital ฮฃฮ”-frequency discriminator. The new all-digital synthesizer is compared to previously published work. The architecture of the ฮฃฮ”-frequency discriminator is verified using behavioral simulation

    ์ฐจ๋Ÿ‰์šฉ CIS Interface ๋ฅผ ์œ„ํ•œ All-Digital Phase-Locked Loop ์˜ ์„ค๊ณ„ ๋ฐ ๋ถ„์„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ (์„์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต ๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2021. 2. ์ •๋•๊ท .This thesis presents design techniques for All-Digital Phase-Locked Loop (ADPLL) assisting the automotive CMOS image sensor (CIS) interface. To target Gear 3 of the automotive physical system, the proposed AD-PLL has a wide operation range, low RMS jitter, and high PVT tolerance characteristics. Detailed analysis of the loop dynamics and the noise analysis of AD-PLL are done by using Matlab and Verilog behavioral modeling simulation before an actual design. Based on that analysis, the optimal DLF gain configurations are yielded, and also, accurate output responses and performance are predictable. The design techniques to reduce the output RMS jitter are discussed thoroughly and utilized for actual implementation. The proposed AD-PLL is fabricated in the 40 nm CMOS process and occupies an effective area of 0.026 mm2. The PLL output clock pulses exhibit an RMS jitter of 827 fs at 2 GHz. The power dissipation is 5.8 mW at 2 GHz, where the overall supply voltage domain is 0.9 V excluding the buffer which is 1.1 V domain.๋ณธ ๋…ผ๋ฌธ์—์„œ๋Š” ์ž๋™์ฐจ CMOS ์ด๋ฏธ์ง€ ์„ผ์„œ (CIS) ์ธํ„ฐํŽ˜์ด์Šค๋ฅผ ์ง€์›ํ•˜ ๋Š” AD-PLL ์„ ์ œ์•ˆํ•œ๋‹ค. Automotive Physical ์‹œ์Šคํ…œ์˜ Gear 3 ๋ฅผ ์ง€์›ํ•˜๊ธฐ ์œ„ํ•ด ์ œ์•ˆ๋œ AD-PLL ์€ 1.5 GHz ์—์„œ 3 GHz ์˜ ๋™์ž‘ ์ฃผํŒŒ์ˆ˜๋ฅผ ๊ฐ€์ง€๋ฉฐ, ๋‚ฎ ์€ RMS Jitter ๋ฐ PVT ๋ณ€ํ™”์— ๋Œ€ํ•œ ๋†’์€ ๋‘”๊ฐ์„ฑ์„ ๊ฐ–๋Š”๋‹ค. ์„ค๊ณ„์— ์•ž์„œ์„œ Matlab ๋ฐ Verilog Behavioral Simulation ์„ ํ†ตํ•ด Loop system ์˜ ์—ญํ•™์— ๋Œ€ํ•œ ์ž์„ธํ•œ ๋ถ„์„ ๋ฐ AD-PLL ์˜ Noise ๋ถ„์„์„ ์ˆ˜ํ–‰ํ•˜์˜€๊ณ , ์ด ๋ถ„์„์„ ๊ธฐ๋ฐ˜์œผ๋กœ ์ตœ์ ์˜ DLF gain ๊ณผ ์ •ํ™•ํ•œ ์ถœ๋ ฅ ์‘๋‹ต ๋ฐ ์„ฑ๋Šฅ์„ ์˜ˆ์ธก ํ•  ์ˆ˜ ์žˆ์—ˆ๋‹ค. ๋˜ํ•œ, ์ถœ๋ ฅ์˜ Phase Noise ์™€ RMS Jitter ๋ฅผ ์ค„์ด๊ธฐ ์œ„ํ•œ ์„ค๊ณ„ ๊ธฐ๋ฒ•์„ ์ž์„ธํžˆ ๋‹ค๋ฃจ๊ณ  ์žˆ์œผ๋ฉฐ ์ด๋ฅผ ์‹ค์ œ ๊ตฌํ˜„์— ํ™œ์šฉํ–ˆ๋‹ค. ์ œ์•ˆ๋œ ํšŒ๋กœ๋Š” 40 nm CMOS ๊ณต์ •์œผ๋กœ ์ œ์ž‘๋˜์—ˆ์œผ๋ฉฐ Decoupling Cap ์„ ์ œ์™ธํ•˜๊ณ  0.026 mm2 ์˜ ์œ ํšจ ๋ฉด์ ์„ ์ฐจ์ง€ํ•œ๋‹ค. ์ธก์ •๋œ ์ถœ๋ ฅ Clock ์‹ ํ˜ธ์˜ RMS Jitter ๊ฐ’์€ 2 GHz ์—์„œ 827 fs ์ด๋ฉฐ, ์ด 5.8 mW์˜ Power ๋ฅผ ์†Œ๋น„ํ•œ๋‹ค. ์ด ๋•Œ, ์ „์ฒด์ ์ธ ๊ณต๊ธ‰ ์ „์••์€ 0.9 V ์ด๋ฉฐ, Buffer ์˜ Power ๋งŒ์ด 1.1 V ๋ฅผ ์‚ฌ์šฉํ•˜ ์˜€๋‹ค.ABSTRACT I CONTENTS II LIST OF FIGURES IV LIST OF TABLES VII CHAPTER 1 INTRODUCTION 1 1.1 MOTIVATION 1 1.2 THESIS ORGANIZATION 3 CHAPTER 2 BACKGROUND ON ALL-DIGITAL PLL 4 2.1 OVERVIEW 4 2.2 BUILDING BLOCKS OF AD-PLL 7 2.2.1 TIME-TO-DIGITAL CONVERTER 7 2.2.2 DIGITALLY-CONTROLLED OSCILLATOR 10 2.2.3 DIGITAL LOOP FILTER 13 2.2.4 DELTA-SIGMA MODULATOR 16 2.3 PHASE NOISE ANALYSIS OF AD-PLL 20 2.3.1 BASIC ASSUMPTION OF LINEAR ANALYSIS 20 2.3.2 NOISE SOURCES OF AD-PLL 21 2.3.3 EFFECTS OF LOOP DELAY ON AD-PLL 24 2.3.4 PHASE NOISE ANALYSIS OF PROPOSED AD-PLL 26 CHAPTER 3 DESIGN OF ALL-DIGITAL PLL 28 3.1 DESIGN CONSIDERATION 28 3.2 OVERALL ARCHITECTURE 30 3.3 CIRCUIT IMPLEMENTATION 32 3.3.1 PFD-TDC 32 3.3.2 DCO 37 3.3.3 DIGITAL BLOCK 43 3.3.4 LEVEL SHIFTING BUFFER AND DIVIDER 45 CHAPTER 4 MEASUREMENT AND SIMULATION RESULTS 52 4.1 DIE PHOTOMICROGRAPH 52 4.2 MEASUREMENT SETUP 54 4.3 TRANSIENT ANALYSIS 57 4.4 PHASE NOISE AND SPUR PERFORMANCE 59 4.4.1 FREE-RUNNING DCO 59 4.4.2 PLL PERFORMANCE 61 4.5 PERFORMANCE SUMMARY 65 CHAPTER 5 CONCLUSION 67 BIBLIOGRAPHY 68 ์ดˆ ๋ก 72Maste

    An Analog Phase Interpolation Based Fractional-N PLL

    Get PDF
    A novel phase-locked loop topology is presented. Compared to conventional designs, this architecture aims to increase frequency resolution and reduce quantization noise while maintaining the fractional-N benefits of high bandwidth and low phase noise up-conversion. This is achieved utilizing a feedforward mechanism for offset cancellation from the integer-N frequency. The design is implemented in a 0.13ฮผm CMOS process technology. A frequency resolution of 1.16Hz is achieved on a 5GHz differential delay cell VCO with a 100MHz reference oscillator. A ping-pong swallow counter topology alleviates pipeline latency to achieve 1-64 divide ratios. A digital pulse generator and nested phase-frequency detector provide tunable offset cancellation. A 5-bit current-steering DAC capable of 200ps pulses reduces output spurs. Theoretical calculations and Simulink modeling provides insight to the effects of non idealities in the system. Test structures and loop configurability are programmed via SPI interface through a custom GUI and prototype PCB

    ํ†ต๊ณ„์  ์ฃผํŒŒ์ˆ˜ ๊ฒ€์ถœ๊ธฐ ๊ธฐ๋ฐ˜ ๊ธฐ์ค€ ์ฃผํŒŒ์ˆ˜๋ฅผ ์‚ฌ์šฉํ•˜์ง€ ์•Š๋Š” ํด๋ก ๋ฐ ๋ฐ์ดํ„ฐ ๋ณต์› ํšŒ๋กœ์˜ ์„ค๊ณ„ ๋ฐฉ๋ฒ•๋ก 

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2022. 8. ์ •๋•๊ท .In this thesis, a design of a high-speed, power-efficient, wide-range clock and data recovery (CDR) without a reference clock is proposed. A frequency acquisition scheme using a stochastic frequency detector (SFD) based on the Alexander phase detector (PD) is utilized for the referenceless operation. Pat-tern histogram analysis is presented to analyze the frequency acquisition behavior of the SFD and verified by simulation. Based on the information obtained by pattern histogram analysis, SFD using autocovariance is proposed. With a direct-proportional path and a digital integral path, the proposed referenceless CDR achieves frequency lock at all measurable conditions, and the measured frequency acquisition time is within 7ฮผs. The prototype chip has been fabricated in a 40-nm CMOS process and occupies an active area of 0.032 mm2. The proposed referenceless CDR achieves the BER of less than 10-12 at 32 Gb/s and exhibits an energy efficiency of 1.15 pJ/b at 32 Gb/s with a 1.0 V supply.๋ณธ ๋…ผ๋ฌธ์€ ๊ธฐ์ค€ ํด๋Ÿญ์ด ์—†๋Š” ๊ณ ์†, ์ €์ „๋ ฅ, ๊ด‘๋Œ€์—ญ์œผ๋กœ ๋™์ž‘ํ•˜๋Š” ํด๋Ÿญ ๋ฐ ๋ฐ์ดํ„ฐ ๋ณต์›ํšŒ๋กœ์˜ ์„ค๊ณ„๋ฅผ ์ œ์•ˆํ•œ๋‹ค. ๊ธฐ์ค€ ํด๋Ÿญ์ด ์—†๋Š” ๋™์ž‘์„ ์œ„ํ•ด์„œ ์•Œ๋ ‰์‚ฐ๋” ์œ„์ƒ ๊ฒ€์ถœ๊ธฐ์— ๊ธฐ๋ฐ˜ํ•œ ํ†ต๊ณ„์  ์ฃผํŒŒ์ˆ˜ ๊ฒ€์ถœ๊ธฐ๋ฅผ ์‚ฌ์šฉํ•˜๋Š” ์ฃผํŒŒ์ˆ˜ ํš๋“ ๋ฐฉ์‹์ด ์‚ฌ์šฉ๋œ๋‹ค. ํ†ต๊ณ„์  ์ฃผํŒŒ์ˆ˜ ๊ฒ€์ถœ๊ธฐ์˜ ์ฃผํŒŒ์ˆ˜ ์ถ”์  ์–‘์ƒ์„ ๋ถ„์„ํ•˜๊ธฐ ์œ„ํ•ด ํŒจํ„ด ํžˆ์Šคํ† ๊ทธ๋žจ ๋ถ„์„ ๋ฐฉ๋ฒ•๋ก ์„ ์ œ์‹œํ•˜์˜€๊ณ  ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ํ†ตํ•ด ๊ฒ€์ฆํ•˜์˜€๋‹ค. ํŒจํ„ด ํžˆ์Šคํ† ๊ทธ๋žจ ๋ถ„์„์„ ํ†ตํ•ด ์–ป์€ ์ •๋ณด๋ฅผ ๋ฐ”ํƒ•์œผ๋กœ ์ž๊ธฐ๊ณต๋ถ„์‚ฐ์„ ์ด์šฉํ•œ ํ†ต๊ณ„์  ์ฃผํŒŒ์ˆ˜ ๊ฒ€์ถœ๊ธฐ๋ฅผ ์ œ์•ˆํ•œ๋‹ค. ์ง์ ‘ ๋น„๋ก€ ๊ฒฝ๋กœ์™€ ๋””์ง€ํ„ธ ์ ๋ถ„ ๊ฒฝ๋กœ๋ฅผ ํ†ตํ•ด ์ œ์•ˆ๋œ ๊ธฐ์ค€ ํด๋Ÿญ์ด ์—†๋Š” ํด๋Ÿญ ๋ฐ ๋ฐ์ดํ„ฐ ๋ณต์›ํšŒ๋กœ๋Š” ๋ชจ๋“  ์ธก์ • ๊ฐ€๋Šฅํ•œ ์กฐ๊ฑด์—์„œ ์ฃผํŒŒ์ˆ˜ ์ž ๊ธˆ์„ ๋‹ฌ์„ฑํ•˜๋Š” ๋ฐ ์„ฑ๊ณตํ•˜์˜€๊ณ , ๋ชจ๋“  ๊ฒฝ์šฐ์—์„œ ์ธก์ •๋œ ์ฃผํŒŒ์ˆ˜ ์ถ”์  ์‹œ๊ฐ„์€ 7ฮผs ์ด๋‚ด์ด๋‹ค. 40-nm CMOS ๊ณต์ •์„ ์ด์šฉํ•˜์—ฌ ๋งŒ๋“ค์–ด์ง„ ์นฉ์€ 0.032 mm2์˜ ๋ฉด์ ์„ ์ฐจ์ง€ํ•œ๋‹ค. ์ œ์•ˆํ•˜๋Š” ํด๋Ÿญ ๋ฐ ๋ฐ์ดํ„ฐ ๋ณต์›ํšŒ๋กœ๋Š” 32 Gb/s์˜ ์†๋„์—์„œ ๋น„ํŠธ์—๋Ÿฌ์œจ 10-12 ์ดํ•˜๋กœ ๋™์ž‘ํ•˜์˜€๊ณ , ์—๋„ˆ์ง€ ํšจ์œจ์€ 32Gb/s์˜ ์†๋„์—์„œ 1.0V ๊ณต๊ธ‰์ „์••์„ ์‚ฌ์šฉํ•˜์—ฌ 1.15 pJ/b์„ ๋‹ฌ์„ฑํ•˜์˜€๋‹ค.CHAPTER 1 INTRODUCTION 1 1.1 MOTIVATION 1 1.2 THESIS ORGANIZATION 13 CHAPTER 2 BACKGROUNDS 14 2.1 CLOCKING ARCHITECTURES IN SERIAL LINK INTERFACE 14 2.2 GENERAL CONSIDERATIONS FOR CLOCK AND DATA RECOVERY 24 2.2.1 OVERVIEW 24 2.2.2 JITTER 26 2.2.3 CDR JITTER CHARACTERISTICS 33 2.3 CDR ARCHITECTURES 39 2.3.1 PLL-BASED CDR โ€“ WITH EXTERNAL REFERENCE CLOCK 39 2.3.2 DLL/PI-BASED CDR 44 2.3.3 PLL-BASED CDR โ€“ WITHOUT EXTERNAL REFERENCE CLOCK 47 2.4 FREQUENCY ACQUISITION SCHEME 50 2.4.1 TYPICAL FREQUENCY DETECTORS 50 2.4.1.1 DIGITAL QUADRICORRELATOR FREQUENCY DETECTOR 50 2.4.1.2 ROTATIONAL FREQUENCY DETECTOR 54 2.4.2 PRIOR WORKS 56 CHAPTER 3 DESIGN OF THE REFERENCELESS CDR USING SFD 58 3.1 OVERVIEW 58 3.2 PROPOSED FREQUENCY DETECTOR 62 3.2.1 MOTIVATION 62 3.2.2 PATTERN HISTOGRAM ANALYSIS 68 3.2.3 INTRODUCTION OF AUTOCOVARIANCE TO STOCHASTIC FREQUENCY DETECTOR 75 3.3 CIRCUIT IMPLEMENTATION 83 3.3.1 IMPLEMENTATION OF THE PROPOSED REFERENCELESS CDR 83 3.3.2 CONTINUOUS-TIME LINEAR EQUALIZER (CTLE) 85 3.3.3 DIGITALLY-CONTROLLED OSCILLATOR (DCO) 87 3.4 MEASUREMENT RESULTS 89 CHAPTER 4 CONCLUSION 99 APPENDIX A DETAILED FREQUENCY ACQUISITION WAVEFORMS OF THE PROPOSED SFD 100 BIBLIOGRAPHY 108 ์ดˆ ๋ก 122๋ฐ•

    Novel Systematic Phase Noise Reduction Techniques for Phase Interpolator Clock and Data Recovery

    Get PDF
    This work focused on high-speed source-synchronous clock and multi-channel data receivers for inter-chip communications. Designs of inter-chip communication are becoming increasingly difficult with the rise in clock rates and the reduction in voltage supplies. Data transmissions at rates of gigabits per second require a fast and accurate clock and data recovery system on the front end of receivers. Many designs allow for source-synchronous clocking architectures, but this work focused on a dual-loop with a phase-locked loop for frequency tracking and phase integrators for tracking each individual data lane. Limitations with the phase interpolator architecture cause systematic jitter, reducing the data eye. Various techniques exist that aim to reduce or eliminate this systematic jitter from phase interpolator architectures. A technique based on digital lock detection was developed for this work that eliminates the phase interpolator systematic jitter

    A 1.8-pJ/b, 12.5-25-Gb/s wide range all-digital clock and data recovery circuit

    Get PDF
    Recently, there has been a strong drive to replace established analog circuits for multi-gigabit clock and data recovery (CDR) by more digital solutions. We focused on phase locked loop-based all-digital CDR (AD-CDR) techniques which contain a digital loop filter (DLF) and a digital controlled oscillator (DCO) and pushed the digital integration up to a level where our DLF is entirely synthesized. To enable this, we found that extensive subsampling can be used to decrease the speed of the DLF while maintaining a good operation. Additionally, an Inverse Alexander phase detector and a 5.5-bit resolution DCO complete the AD-CDR architecture. As a result of the low complexity and digital architecture, the AD-CDR occupies a compact active chip area of 0.050 mm(2) and consumes only 46 mW at 25 Gb/s. This is the smallest area and the lowest power consumption compared with the state-of-the-art. In addition, our implementation is highly tunable due to the synthesized logic, and supports a wide operating range (12.5-25 Gb/s), which is a significantly larger range compared with the previous work. Finally, thanks to our digital architecture, the power dissipation decreases linearly while moving to the lower speeds of our operating range. This is in contrast with the most prior work, making our design truly adaptive

    Techniques for low jitter clock multiplication

    Get PDF
    Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2008.Includes bibliographical references (p. 115-121).Phase realigning clock multipliers, such as Multiplying Delay-Locked Loops (MDLL), offer significantly reduced random jitter compared to typical Phase-Locked Loops (PLL). This is achieved by introducing the reference signal directly into their voltage controlled oscillators (VCO) to realign the phase to the clean reference. However, the typical cost of this benefit is a significant increase in deterministic jitter due to path mismatch in the detector as well as analog nonidealities in the tuning circuits. This thesis proposes a mostly-digital tuning technique that drastically reduces deterministic jitter in phase realigning clock multipliers. The proposed technique eliminates path mismatch by using a single-path digital detection method that leverages a scrambling time-to-digital converter (TDC) and correlated double sampling to infer the tuning error from the difference in cycle periods of the output. By using a digital loop filter that consists of a digital accumulator, the tuning technique avoids the analog nonidealities of typical tuning paths. The scrambling TDC is not a contribution of this thesis. A highly-digital MDLL prototype that uses the proposed tuning technique consists of two custom 0.13 [mu]m ICs, an FPGA board, a discrete digital-to-analog converter (DAC) with effective 8 bits, and a simple RC filter. The measured performance (for a 1.6 GHz output and 50 MHz reference) demonstrated an overall jitter of 0.93 ps rms, and estimated random and deterministic jitter of 0.68 ps rms and 0.76 ps peak-to-peak, respectively. The proposed MDLL architecture is especially suitable for digital ICs, since its highly-digital architecture is mostly compatible with digital design flows, which eases its porting between technologies.by Belal Moheedin Helal.Ph.D
    • โ€ฆ
    corecore