58 research outputs found

    Design of high performance frequency synthesizers in communication systems

    Get PDF
    Frequency synthesizer is a key building block of fully-integrated wireless communication systems. Design of a frequency synthesizer requires the understanding of not only the circuit-level but also of the transceiver system-level considerations. This dissertation presents a full cycle of the synthesizer design procedure starting from the interpretation of standards to the testing and measurement results. A new methodology of interpreting communication standards into low level circuit specifications is developed to clarify how the requirements are calculated. A detailed procedure to determine important design variables is presented incorporating the fundamental theory and non-ideal effects such as phase noise and reference spurs. The design procedure can be easily adopted for different applications. A BiCMOS frequency synthesizer compliant for both wireless local area network (WLAN) 802.11a and 802.11b standards is presented as a design example. The two standards are carefully studied according to the proposed standard interpretation method. In order to satisfy stringent requirements due to the multi-standard architecture, an improved adaptive dual-loop phase-locked loop (PLL) architecture is proposed. The proposed improvements include a new loop filter topology with an active capacitance multiplier and a tunable dead zone circuit. These improvements are crucial for monolithic integration of the synthesizer with no off-chip components. The proposed architecture extends the operation limit of conventional integerN type synthesizers by providing better reference spur rejection and settling time performance while making it more suitable for monolithic integration. It opens a new possibility of using an integer-N architecture for various other communication standards, while maintaining the benefit of the integer-N architecture; an optimal performance in area and power consumption

    Oscillator Architectures and Enhanced Frequency Synthesizer

    Get PDF
    A voltage controlled oscillator (VCO), that generates a periodic signal whose frequency is tuned by a voltage, is a key building block in any integrated circuit systems. A sine wave oscillator can be used for a built-in self testing where high linearity is required. A bandpass filter (BPF) based oscillator is a preferred solution, and high quality factor (Q-factor) is needed to improve the linearity. However, a stringent linearity specification may require very high Q-factor, not practical to implement. To address this problem, a frequency harmonic shaping technique is proposed. It utilizes a finite impulse response filter improving the linearity by rejecting certain harmonics. A prototype SC BPF oscillator with an oscillating frequency of 10 MHz is designed and measurement results show that linearity is improved by 20 dB over a conventional oscillator. In radio frequency area, preferred oscillator structures are an LC oscillator and a ring oscillator. An LC oscillator exhibits good phase noise but an expensive cost of an inductor is disadvantageous. A ring oscillator can be built in standard CMOS process, but suffers due to a poor phase noise and is sensitive to supply noise. A RC BPF oscillator is proposed to compromise the above difficulties. A RC BPF oscillator at 2.5 GHz is designed and measured performance is better than ring oscillators when compared using a figure of merit. In particular, the frequency tuning range of the proposed oscillator is superior to the ring oscillator. VCO is normally incorporated with a frequency synthesizer (FS) for an accurate frequency control. In an integer-N FS, reference spur is one of the design concerns in communication systems since it degrades a signal to noise ratio. Reference spurs can be rejected more by either the lower loop bandwidth or the higher loop filter. But the former increases a settling time and the latter decreases phase margin. An adaptive lowpass filtering technique is proposed. The loop filter order is adaptively increased after the loop is locked. A 5.8 GHz integer-N FS is designed and measurement results show that reference spur rejection is improved by 20 dB over a conventional FS without degrading the settling time. A new pulse interleaving technique is proposed and several design modifications are suggested as a future work

    Digital Intensive Mixed Signal Circuits with In-situ Performance Monitors

    Get PDF
    University of Minnesota Ph.D. dissertation.November 2016. Major: Electrical/Computer Engineering. Advisor: Chris Kim. 1 computer file (PDF); x, 137 pages.Digital intensive circuit design techniques of different mixed-signal systems such as data converters, clock generators, voltage regulators etc. are gaining attention for the implementation of modern microprocessors and system-on-chips (SoCs) in order to fully utilize the benefits of CMOS technology scaling. Moreover different performance improvement schemes, for example, noise reduction, spur cancellation, linearity improvement etc. can be easily performed in digital domain. In addition to that, increasing speed and complexity of modern SoCs necessitate the requirement of in-situ measurement schemes, primarily for high volume testing. In-situ measurements not only obviate the need for expensive measurement equipments and probing techniques, but also reduce the test time significantly when a large number of chips are required to be tested. Several digital intensive circuit design techniques are proposed in this dissertation along with different in-situ performance monitors for a variety of mixed signal systems. First, a novel beat frequency quantization technique is proposed in a two-step VCO quantizer based ADC implementation for direct digital conversion of low amplitude bio- potential signals. By direct conversion, it alleviates the requirement of the area and power consuming analog-frontend (AFE) used in a conventional ADC designs. This prototype design is realized in a 65nm CMOS technology. Measured SNDR is 44.5dB from a 10mVpp, 300Hz signal and power consumption is only 38μW. Next, three different clock generation circuits, a phase-locked loop (PLL), a multiplying delay-locked loop (MDLL) and a frequency-locked loop (FLL) are presented. First a 0.4-to-1.6GHz sub-sampling fractional-N all digital PLL architecture is discussed that utilizes a D-flip-flop as a digital sub-sampler. Measurement results from a 65nm CMOS test-chip shows 5dB lower phase noise at 100KHz offset frequency, compared to a conventional architecture. The Digital PLL (DPLL) architecture is further extended for a digital MDLL implementation in order to suppress the VCO phase noise beyond the DPLL bandwidth. A zero-offset aperture phase detector (APD) and a digital- to-time converter (DTC) are employed for static phase-offset (SPO) cancellation. A unique in-situ detection circuitry achieves a high resolution SPO measurement in time domain. A 65nm test-chip shows 0.2-to-1.45GHz output frequency range while reducing the phase-noise by 9dB compared to a DPLL. Next, a frequency-to-current converter (FTC) based fractional FLL is proposed for a low accuracy clock generation in an extremely low area for IoT application. High density deep-trench capacitors are used for area reduction. The test-chip is fabricated in a 32nm SOI technology that takes only 0.0054mm2 active area. A high-resolution in-situ period jitter measurement block is also incorporated in this design. Finally, a time based digital low dropout (DLDO) regulator architecture is proposed for fine grain power delivery over a wide load current dynamic range and input/output voltage in order to facilitate dynamic voltage and frequency scaling (DVFS). High- resolution beat frequency detector dynamically adjusts the loop sampling frequency for ripple and settling time reduction due to load transients. A fixed steady-state voltage offset provides inherent active voltage positioning (AVP) for ripple reduction. Circuit simulations in a 65nm technology show more than 90% current efficiency for 100X load current variation, while it can operate for an input voltage range of 0.6V – 1.2V

    On-chip adaptive power management for WPT-Enabled IoT

    Get PDF
    Internet of Things (IoT), as broadband network connecting every physical objects, is becoming more widely available in various industrial, medical, home and automotive applications. In such network, the physical devices, vehicles, medical assistance, and home appliances among others are supposed to be embedded by sensors, actuators, radio frequency (RF) antennas, memory, and microprocessors, such that these devices are able to exchange data and connect with other devices in the network. Among other IoT’s pillars, wireless sensor network (WSN) is one of the main parts comprising massive clusters of spatially distributed sensor nodes dedicated for sensing and monitoring environmental conditions. The lifetime of a WSN is greatly dependent on the lifetime of the small sensor nodes, which, in turn, is primarily dependent on energy availability within every sensor node. Predominantly, the main energy source for a sensor node is supplied by a small battery attached to it. In a large WSN with massive number of deployed sensor nodes, it becomes a challenge to replace the batteries of every single sensor node especially for sensor nodes deployed in harsh environments. Consequently, powering the sensor nodes becomes a key limiting issue, which poses important challenges for their practicality and cost. Therefore, in this thesis we propose enabling WSN, as the main pillar of IoT, by means of resonant inductive coupling (RIC) wireless power transfer (WPT). In order to enable efficient energy delivery at higher range, high quality factor RIC-WPT system is required in order to boost the magnetic flux generated at the transmitting coil. However, an adaptive front-end is essential for self-tuning the resonant tank against any mismatch in the components values, distance variation, and interference from close metallic objects. Consequently, the purpose of the thesis is to develop and design an adaptive efficient switch-mode front-end for self-tuning in WPT receivers in multiple receiver system. The thesis start by giving background about the IoT system and the technical bottleneck followed by the problem statement and thesis scope. Then, Chapter 2 provides detailed backgrounds about the RIC-WPT system. Specifically, Chapter 2 analyzes the characteristics of different compensation topologies in RIC-WPT followed by the implications of mistuning on efficiency and power transfer capability. Chapter 3 discusses the concept of switch-mode gyrators as a potential candidate for generic variable reactive element synthesis while different potential applications and design cases are provided. Chapter 4 proposes two different self-tuning control for WPT receivers that utilize switch-mode gyrators as variable reactive element synthesis. The performance aspects of control approaches are discussed and evaluated as well in Chapter 4. The development and exploration of more compact front-end for self-tuned WPT receiver is investigated in Chapter 5 by proposing a phase-controlled switched inductor converter. The operation and design details of different switch-mode phase-controlled topologies are given and evaluated in the same chapter. Finally, Chapter 6 provides the conclusions and highlight the contribution of the thesis, in addition to suggesting the related future research topics.Internet de las cosas (IoT), como red de banda ancha que interconecta cualquier cosa, se está estableciendo como una tecnología valiosa en varias aplicaciones industriales, médicas, domóticas y en el sector del automóvil. En dicha red, los dispositivos físicos, los vehículos, los sistemas de asistencia médica y los electrodomésticos, entre otros, incluyen sensores, actuadores, subsistemas de comunicación, memoria y microprocesadores, de modo que son capaces de intercambiar datos e interconectarse con otros elementos de la red. Entre otros pilares que posibilitan IoT, la red de sensores inalámbricos (WSN), que es una de las partes cruciales del sistema, está formada por un conjunto masivo de nodos de sensado distribuidos espacialmente, y dedicados a sensar y monitorizar las condiciones del contexto de las cosas interconectadas. El tiempo de vida útil de una red WSN depende estrechamente del tiempo de vida de los pequeños nodos sensores, los cuales, a su vez, dependen primordialmente de la disponibilidad de energía en cada nodo sensor. La fuente principal de energía para un nodo sensor suele ser una pequeña batería integrada en él. En una red WSN con muchos nodos y con una alta densidad, es un desafío el reemplazar las baterías de cada nodo sensor, especialmente en entornos hostiles, como puedan ser en escenarios de Industria 4.0. En consecuencia, la alimentación de los nodos sensores constituye uno de los cuellos de botella que limitan un despliegue masivo práctico y de bajo coste. A tenor de estas circunstancias, en esta tesis doctoral se propone habilitar las redes WSN, como pilar principal de sistemas IoT, mediante sistemas de transferencia inalámbrica de energía (WPT) basados en acoplamiento inductivo resonante (RIC). Con objeto de posibilitar el suministro eficiente de energía a mayores distancias, deben aumentarse los factores de calidad de los elementos inductivos resonantes del sistema RIC-WPT, especialmente con el propósito de aumentar el flujo magnético generado por el inductor transmisor de energía y su acoplamiento resonante en recepción. Sin embargo, dotar al cabezal electrónico que gestiona y condicionada el flujo de energía de capacidad adaptativa es esencial para conseguir la autosintonía automática del sistema acoplado y resonante RIC-WPT, que es muy propenso a la desintonía ante desajustes en los parámetros nominales de los componentes, variaciones de distancia entre transmisor y receptores, así como debido a la interferencia de objetos metálicos. Es por tanto el objetivo central de esta tesis doctoral el concebir, proponer, diseñar y validar un sistema de WPT para múltiples receptores que incluya funciones adaptativas de autosintonía mediante circuitos conmutados de alto rendimiento energético, y susceptible de ser integrado en un chip para el condicionamiento de energía en cada receptor de forma miniaturizada y desplegable de forma masiva. La tesis empieza proporcionando una revisión del estado del arte en sistemas de IoT destacando el reto tecnológico de la alimentación energética de los nodos sensores distribuidos y planteando así el foco de la tesis doctoral. El capítulo 2 sigue con una revisión crítica del statu quo de los sistemas de transferencia inalámbrica de energía RIC-WPT. Específicamente, el capítulo 2 analiza las características de diferentes estructuras circuitales de compensación en RIC-WPT seguido de una descripción crítica de las implicaciones de la desintonía en la eficiencia y la capacidad de transferencia energética del sistema. El capítulo 3 propone y explora el concepto de utilizar circuitos conmutados con función de girador como potenciales candidatos para la síntesis de propósito general de elementos reactivos variables sintonizables electrónicamente, incluyendo varias aplicaciones y casos de uso. El capítulo 4 propone dos alternativas para métodos y circuitos de control para la autosintonía de receptores de energíaPostprint (published version

    50-250MHZ ?S DLL for Clock Synchronization

    Get PDF
    Ph.DDOCTOR OF PHILOSOPH

    Digital enhancement techniques for fractional-N frequency synthesizers

    Get PDF
    Meeting the demand for unprecedented connectivity in the era of internet-of-things (IoT) requires extremely energy efficient operation of IoT nodes to extend battery life. Managing the data traffic generated by trillions of such nodes also puts severe energy constraints on the data centers. Clock generators that are essential elements in these systems consume significant power and therefore must be optimized for low power and high performance. The focus of this thesis is on improving the energy efficiency of frequency synthesizers and clocking modules by exploring design techniques at both the architectural and circuit levels. In the first part of this work, a digital fractional-N phase locked loop (FNPLL) that employs a high resolution time-to-digital converter (TDC) and a truly ΔΣ fractional divider to achieve low in-band noise with a wide bandwidth is presented. The fractional divider employs a digital-to-time converter (DTC) to cancel out ΔΣ quantization noise in time domain, thus alleviating TDC dynamic range requirements. The proposed digital architecture adopts a narrow range low-power time-amplifier based TDC (TA-TDC) to achieve sub 1ps resolution. Fabricated in 65nm CMOS process, the prototype PLL achieves better than -106dBc/Hz in-band noise and 3MHz PLL bandwidth at 4.5GHz output frequency using 50MHz reference. The PLL achieves excellent jitter performance of 490fsrms, while consumes only 3.7mW. This translates to the best reported jitter-power figure-of-merit (FoM) of -240.5dB among previously reported FNPLLs. Phase noise performance of ring oscillator based digital FNPLLs is severely compromised by conflicting bandwidth requirements to simultaneously suppress oscillator phase and quantization noise introduced by the TDC, ΔΣ fractional divider, and digital-to-analog converter (DAC). As a consequence, their FoM that quantifies the power-jitter tradeoff is at least 25dB worse than their LC-oscillator based FNPLL counterparts. In the second part of this thesis, we seek to close this performance gap by extending PLL bandwidth using quantization noise cancellation techniques and by employing a dual-path digital loop filter to suppress the detrimental impact of DAC quantization noise. A prototype was implemented in a 65nm CMOS process operating over a wide frequency range of 2.0GHz-5.5GHz using a modified extended range multi-modulus divider with seamless switching. The proposed digital FNPLL achieves 1.9psrms integrated jitter while consuming only 4mW at 5GHz output. The measured in-band phase noise is better than -96 dBc/Hz at 1MHz offset. The proposed FNPLL achieves wide bandwidth up to 6MHz using a 50 MHz reference and its FoM is -228.5dB, which is at about 20dB better than previously reported ring-based digital FNPLLs. In the third part, we propose a new multi-output clock generator architecture using open loop fractional dividers for system-on-chip (SoC) platforms. Modern multi-core processors use per core clocking, where each core runs at its own speed. The core frequency can be changed dynamically to optimize for performance or power dissipation using a dynamic frequency scaling (DFS) technique. Fast frequency switching is highly desirable as long as it does not interrupt code execution; therefore it requires smooth frequency transitions with no undershoots. The second main requirement in processor clocking is the capability of spread spectrum frequency modulation. By spreading the clock energy across a wide bandwidth, the electromagnetic interference (EMI) is dramatically reduced. A conventional PLL clock generation approach suffers from a slow frequency settling and limited spread spectrum modulation capabilities. The proposed open loop fractional divider architecture overcomes the bandwidth limitation in fractional-N PLLs. The fractional divider switches the output frequency instantaneously and provides an excellent spread spectrum performance, where precise and programmable modulation depth and frequency can be applied to satisfy different EMI requirements. The fractional divider has unlimited modulation bandwidth resulting in spread spectrum modulation with no filtering, unlike fractional-N PLL; consequently it achieves higher EMI reduction. A prototype fractional divider was implemented in a 65nm CMOS process, where the measured peak-to-peak jitter is less than 27ps over a wide frequency range from 20MHz to 1GHz. The total power consumption is about 3.2mW for 1GHz output frequency. The all-digital implementation of the divider occupies the smallest area of 0.017mm2 compared to state-of-the-art designs. As the data rate of serial links goes higher, the jitter requirements of the clock generator become more stringent. Improving the jitter performance of conventional PLLs to less than (200fsrms) always comes with a large power penalty (tens of mWs). This is due to the PLL coupled noise bandwidth trade-off, which imposes stringent noise requirements on the oscillator and/or loop components. Alternatively, an injection-locked clock multiplier (ILCM) provides many advantages in terms of phase noise, power, and area compared to classical PLLs, but they suffer from a narrow lock-in range and a high sensitivity to PVT variations especially at a large multiplication factor (N). In the fourth part of this thesis, a low-jitter, low-power LC-based ILCM with a digital frequency-tracking loop (FTL) is presented. The proposed FTL relies on a new pulse gating technique to continuously tune the oscillator's free-running frequency. The FTL ensures robust operation across PVT variations and resolves the race condition existing in injection locked PLLs by decoupling frequency tuning from the injection path. As a result, the phase locking condition is only determined by the injection path. This work also introduces an accurate theoretical large-signal analysis for phase domain response (PDR) of injection locked oscillators (ILOs). The proposed PDR analysis captures the asymmetric nature of ILO's lock-in range, and the impact of frequency error on injection strength and phase noise performance. The proposed architecture and analysis are demonstrated by a prototype fabricated in 65 nm CMOS process with active area of 0.25mm2. The prototype ILCM multiplies the reference frequency by 64 to generate an output clock in the range of 6.75GHz-8.25GHz. A superior jitter performance of 190fsrms is achieved, while consuming only 2.25mW power. This translates to a best FoM of -251dB. Unlike conventional PLLs, ILCMs have been fundamentally limited to only integer-N operation and cannot synthesize fractional-N frequencies. In the last part of this thesis, we extend the merits of ILCMs to fractional-N and overcome this fundamental limitation. We employ DTC-based QNC techniques in order to align injected pulses to the oscillator's zero crossings, which enables it to pull the oscillator toward phase lock, thus realizing a fractional-N ILCM. Fabricated in 65nm CMOS process, a prototype 20-bit fractional-N ILCM with an output range of 6.75GHz-8.25GHz consumes only 3.25mW. It achieves excellent jitter performance of 110fsrms and 175fsrms in integer- and fractional-N modes respectively, which translates to the best-reported FoM in both integer- (-255dB) and fractional-N (-252dB) modes. The proposed fractional-N ILCM also features the first-reported rapid on/off capability, where the transient absolute jitter performance at wake-up is bounded below 4ps after less than 4ns. This demonstrates almost instantaneous phase settling. This unique capability enables tremendous energy saving by turning on the clock multiplier only when needed. This energy proportional operation leverages idle times to save power at the system-level of wireline and wireless transceivers

    Design of High-Speed SerDes Transceiver for Chip-to-Chip Communications in CMOS Process

    Get PDF
    With the continuous increase of on-chip computation capacities and exponential growth of data-intensive applications, the high-speed data transmission through serial links has become the backbone for modern communication systems. To satisfy the massive data-exchanging requirement, the data rate of such serial links has been updated from several Gb/s to tens of Gb/s. Currently, the commercial standards such as Ethernet 400GbE, InfiniBand high data rate (HDR), and common electrical interface (CEI)-56G has been developing towards 40+ Gb/s. As the core component within these links, the transceiver chipset plays a fundamental role in balancing the operation speed, power consumption, area occupation, and operation range. Meanwhile, the CMOS process has become the dominant technology in modern transceiver chip fabrications due to its large-scale digital integration capability and aggressive pricing advantage. This research aims to explore advanced techniques that are capable of exploiting the maximum operation speed of the CMOS process, and hence provides potential solutions for 40+ Gb/s CMOS transceiver designs. The major contributions are summarized as follows. A low jitter ring-oscillator-based injection-locked clock multiplier (RILCM) with a hybrid frequency tracking loop that consists of a traditional phase-locked loop (PLL), a timing-adjusted loop, and a loop selection state-machine is implemented in 65-nm C-MOS process. In the ring voltage-controlled oscillator, a full-swing pseudo-differential delay cell is proposed to lower the device noise to phase noise conversion. To obtain high operation speed and high detection accuracy, a compact timing-adjusted phase detector tightly combined with a well-matched charge pump is designed. Meanwhile, a lock-loss detection and lock recovery is devised to endow the RILCM with a similar lock-acquisition ability as conventional PLL, thus excluding the initial frequency set- I up aid and preventing the potential lock-loss risk. The experimental results show that the figure-of-merit of the designed RILCM reaches -247.3 dB, which is better than previous RILCMs and even comparable to the large-area LC-ILCMs. The transmitter (TX) and receiver (RX) chips are separately designed and fab- ricated in 65-nm CMOS process. The transmitter chip employs a quarter-rate multi-multiplexer (MUX)-based 4-tap feed-forward equalizer (FFE) to pre-distort the output. To increase the maximum operating speed, a bandwidth-enhanced 4:1 MUX with the capability of eliminating charge-sharing effect is proposed. To produce the quarter-rate parallel data streams with appropriate delays, a compact latch array associated with an interleaved-retiming technique is designed. The receiver chip employs a two-stage continuous-time linear equalizer (CTLE) as the analog front-end and integrates an improved clock data recovery to extract the sampling clocks and retime the incoming data. To automatically balance the jitter tracking and jitter suppression, passive low-pass filters with adaptively-adjusted bandwidth are introduced into the data-sampling path. To optimize the linearity of the phase interpolation, a time-averaging-based compensating phase interpolator is proposed. For equalization, a combined TX-FFE and RX-CTLE is applied to compensate for the channel loss, where a low-cost edge-data correlation-based sign zero-forcing adaptation algorithm is proposed to automatically adjust the TX-FFE’s tap weights. Measurement results show that the fabricated transmitter/receiver chipset can deliver 40 Gb/s random data at a bit error rate of 16 dB loss at the half-baud frequency, while consuming a total power of 370 mW

    Frequency Synthesizers and Oscillator Architectures Based on Multi-Order Harmonic Generation

    Get PDF
    Frequency synthesizers are essential components for modern wireless and wireline communication systems as they provide the local oscillator signal required to transmit and receive data at very high rates. They are also vital for computing devices and microcontrollers as they generate the clocks required to run all the digital circuitry responsible for the high speed computations. Data rates and clocking speeds are continuously increasing to accommodate for the ever growing demand on data and computational power. This places stringent requirements on the performance metrics of frequency synthesizers. They are required to run at higher speeds, cover a wide range of frequencies, provide a low jitter/phase noise output and consume minimum power and area. In this work, we present new techniques and architectures for implementing high speed frequency synthesizers which fulfill the aforementioned requirements. We propose a new architecture and design approach for the realization of wideband millimeter-wave frequency synthesizers. This architecture uses two-step multi-order harmonic generation of a low frequency phase-locked signal to generate wideband mm-wave frequencies. A prototype of the proposed system is designed and fabricated in 90nm Complementary Metal Oxide Semiconductor (CMOS) technology. Measurement results demonstrated that a very wide tuning range of 5 to 32 GHz can be achieved, which is costly to implement using conventional techniques. Moreover the power consumption per octave resembles that of state-of-the art reports. Next, we propose the N-Push cyclic coupled ring oscillator (CCRO) architecture to implement two high performance oscillators: (1) a wideband N-Push/M-Push CCRO operating from 3.16-12.8GHz implemented by two harmonic generation operations using the availability of different phases from the CCRO, and (2) a 13-25GHz millimeter-wave N-Push CCRO with a low phase noise performance of -118dBc/Hz at 10MHz. The proposed oscillators achieve low phase noise with higher FOM than state of the art work. Finally, we present some improvement techniques applied to the performance of phase locked loops (PLLs). We present an adaptive low pass filtering technique which can reduce the reference spur of integer-N charge-pump based PLLs by around 20dB while maintaining the settling time of the original PLL. Another PLL is presented, which features very low power consumption targeting the Medical Implantable Communication Standard. It operates at 402-405 MHz while consuming 600microW from a 1V supply

    INJECTION-LOCKING TECHNIQUES FOR MULTI-CHANNEL ENERGY EFFICIENT TRANSMITTER

    Get PDF
    Ph.DDOCTOR OF PHILOSOPH

    Lithium niobate RF-MEMS oscillators for IoT, 5G and beyond

    Get PDF
    This dissertation focuses on the design and implementation of lithium niobate (LiNbO3) radiofrequency microelectromechanical (RF-MEMS) oscillators for internet-of-things (IoT), 5G and beyond. The dissertation focuses on solving two main problems found nowadays in most of the published works: the narrow tuning range and the low operating frequency (sub 3 GHz) acoustic oscillators currently deliver. The work introduced here enables wideband voltage-controlled MEMS oscillators (VCMOs) needed for emerging applications in IoT. Moreover, it enables multi-GHz (above 8 GHz) RF-MEMS oscillators through harnessing over mode resonances for 5G and beyond. LiNbO3 resonators characterized by high-quality factor (Q), high electromechanical coupling (kt2), and high figure-of-merit (FoMRES= Q kt2) are crucial for building the envisioned high-performance oscillators. Those oscillators can be enabled with lower power consumption, wider tuning ranges, and a higher frequency of oscillation when compared to other state-of-the-art (SoA) RF-MEMS oscillators. Tackling the tuning range issue, the first VCMO based on the heterogeneous integration of a high Q LiNbO3 RF-MEMS resonator and complementary metal-oxide semiconductor (CMOS) is demonstrated in this dissertation. A LiNbO3 resonator array with a series resonance of 171.1 MHz, a Q of 410, and a kt2 of 12.7% is adopted, while the TSMC 65 nm RF LP CMOS technology is used to implement the active circuitry with an active area of 220×70 µm2. Frequency tuning of the VCMO is achieved by programming a binary-weighted digital capacitor bank and a varactor that are both connected in series to the resonator. The measured best phase noise performances of the VCMO are -72 and -153 dBc/Hz at 1 kHz and 10 MHz offsets from 178.23 and 175.83 MHz carriers, respectively. The VCMO consumes a direct current (DC) of 60 µA from a 1.2 V supply while realizing a tuning range of 2.4 MHz (~ 1.4% tuning range). Such VCMOs can be applied to enable ultralow-power, low phase noise, and wideband RF synthesis for emerging applications in IoT. Moreover, the first VCMO based on LiNbO3 lateral overtone bulk acoustic resonator (LOBAR) is demonstrated in this dissertation. The LOBAR excites over 30 resonant modes in the range of 100 to 800 MHz with a frequency spacing of 20 MHz. The VCMO consists of a LOBAR in a closed-loop with two amplification stages and a varactor-embedded tunable LC tank. By the bias voltage applied to the varactor, the tank can be tuned to change the closed-loop gain and phase responses of the oscillator so that Barkhausen’s conditions are satisfied for the targeted resonant mode. The tank is designed to allow the proposed VCMO to lock to any of the ten overtones ranging from 300 to 500 MHz. These ten tones are characterized by average Qs of 2100, kt2 of 1.5%, FoMRES of 31.5 enabling low phase noise, and low-power oscillators crucial for IoT. Owing to the high Qs of the LiNbO3 LOBAR, the measured VCMO shows a close-in phase noise of -100 dBc/Hz at 1 kHz offset from a 300 MHz carrier and a noise floor of -153 dBc/Hz while consuming 9 mW. With further optimization, this VCMO can lead to direct RF synthesis for ultra-low-power transceivers in multi-mode IoT nodes. Tackling the multi-GHz operation problem, the first Ku-band RF-MEMS oscillator utilizing a third antisymmetric overtone (A3) in a LiNbO3 resonator is presented in the dissertation. Quarter-wave resonators are used to satisfy Barkhausen’s oscillation conditions for the 3rd overtone while suppressing the fundamental and higher-order resonances. The oscillator achieves measured phase noise of -70 and -111 dBc/Hz at 1 kHz and 100 kHz offsets from a 12.9 GHz carrier while consuming 20 mW of dc power. The oscillator achieves a FoMOSC of 200 dB at 100 kHz offset. The achieved oscillation frequency is the highest reported to date for a MEMS oscillator. In addition, this dissertation introduces the first X-band RF-MEMS oscillator built using CMOS technology. The oscillator consists of an acoustic resonator in a closed loop with cascaded RF tuned amplifiers (TAs) built on TSMC RF GP 65 nm CMOS. The TAs bandpass response, set by on-chip inductors, satisfies Barkhausen's oscillation conditions for A3 only. Two circuit variations are implemented. The first is an 8.6 GHz standalone oscillator with a source-follower buffer for direct 50 Ω-based measurements. The second is an oscillator-divider chain using an on-chip 3-stage divide-by-2 frequency divider for a ~1.1 GHz output. The standalone oscillator achieves measured phase noise of -56, -113, and -135 dBc/Hz at 1 kHz, 100 kHz, and 1 MHz offsets from an 8.6 GHz output while consuming 10.2 mW of dc power. The oscillator also attains a FoMOSC of 201.6 dB at 100 kHz offset, surpassing the SoA electromagnetic (EM) and RF-MEMS based oscillators. The oscillator-divider chain produces a phase noise of -69.4 and -147 dBc/Hz at 1 kHz and 1 MHz offsets from a 1075 MHz output while consuming 12 mW of dc power. Its phase noise performance also surpasses the SoA L-band phase-locked loops (PLLs). The demonstrated performance shows the strong potential of microwave acoustic oscillators for 5G frequency synthesis and beyond. This work will enable low-power 5G transceivers featuring high speed, high sensitivity, and high selectivity in small form factors
    corecore