7 research outputs found

    Susceptor Coupling for the Uniformity and Dopant Activation Efficiency in Implanted Si Under Fixed-Frequency Microwave Anneal

    No full text
    Microwave annealing of dopants in Si has been reported to produce highly activated junctions at temperatures far below those needed for comparable results using conventional thermal processes. However, during conventional fixed-frequency microwave heating, standing wave patterns can be established in the microwave processing chamber, resulting in nodes and antinodes over the processing area, resulting in thermal variations over the process wafer. In this letter, the effects of Si or quartz susceptor wafers on dopant activation and sheet resistance uniformity during fixed-frequency microwave anneal are studied. The composition, number, and spacing of susceptor wafers were varied in a systematic fashion in these experiments

    High Tensile Stress with Minimal Dopant Diffusion by Low Temperature Microwave Anneal

    No full text
    In this letter, rapid thermal annealing (RTA) and microwave annealing (MA) are compared to demonstrate the dopant activation. Using microwave annealing, the dopant in the Si was well-activated and showed suppressed dopant diffusion, as compared to traditional high temperature RTA. In addition, SiN(x) films after low temperature MA treatment presented higher tensile stress than the films annealed by RTA. Therefore, this MA approach could potentially be applied to these behaviors of I distribution and higher tensile stress SiNx film may be useful in contact etch-stop layer or stress memorization technique in the fabrication of small pitch nanoscaled n-channel Metal-Oxide-Semiconductor Field Effect Transistors. (C) 2011 The Electrochemical Society. [DOI: 10.1149/1.3536462] All rights reserved

    Amorphous-Layer Regrowth and Activation of P and As Implanted Si by Low-Temperature Microwave Annealing

    No full text
    Microwave annealing of dopants in Si has been reported to produce highly activated junctions at temperatures far below those needed for comparable results using conventional thermal processes. However, the details of the kinetics and mechanisms for microwave annealing are far from well understood. In this paper, 20-keV arsenic (As) and 15-keV phosphorus (P) implants, in a dose range from 1 to 5 x 10(15) ion/cm(2), were annealed by microwave methods at temperatures below 500 degrees.C. These junctions were characterized by profile studies with secondary ion mass spectrometry and spreading resistance profiling, sheet resistance with four-point probe, and extensive use of cross-sectional transmission electron microscopy to follow the regrowth of the as-implanted amorphous layers created by the implantation. The amorphous-layer regrowth was observed to be uneven in time, with relatively little amorphous/crystalline interface motion for less than 50 s, followed by rapid regrowth for longer times. Sheet resistance values continued to drop for anneal times after the regrowth process was complete, with some evidence of dopant deactivation for anneal times of 600 s

    Dopant Activation in Single-Crystalline Germanium by Low-Temperature Microwave Annealing

    No full text
    Phosphorus activated in germanium epitaxy atop Si wafer by low-temperature microwave annealing technique was investigated in this letter. Compared to the conventional RTA process, the temperature of phosphorus activation could be 120 degrees C to 140 degrees C which is an improvement in temperature reduction at the same sheet resistance. According to the SRP, up to 150 degrees C reduction in maximum temperature at the same activation concentration (about 2 x 10(19) cm(-3)) could be achieved. Through adjusting the microwave power and process time, sheet resistance could be decreased while suppressing dopant diffusion. In addition, the inserted susceptor wafers above and below the processing wafer also suppressed the dopant diffusion and improved film roughness

    Nanoscale p-MOS Thin-Film Transistor With TiN Gate Electrode Fabricated by Low-Temperature Microwave Dopant Activation

    No full text
    In this letter, nanoscale p-MOS TFTs with a TiN gate electrode were realized using a novel microwave (MW) dopant-activation technique. We compared both low-temperature MW annealing and rapid thermal annealing. We successfully activated the source/drain region and suppressed the short-channel effects using low-temperature MW annealing. This technique is promising from the viewpoint of realizing high-performance and low-cost upper layer nanoscale transistors required for low-temperature 3-D integrated circuit fabrication

    Observation of exclusive decays of B mesons at LEP

    Get PDF
    Contains fulltext : 124480.pdf (preprint version ) (Open Access
    corecore