166 research outputs found

    Megasonic Enhanced Electrodeposition

    Get PDF
    A novel way of filling high aspect ratio vertical interconnection (microvias) with an aspect ratio of >2:1 is presented. High frequency acoustic streaming at megasonic frequencies enables the decrease of the Nernst-diffusion layer down to the sub-micron range, allowing thereby conformal electrodeposition in deep grooves. Higher throughput and better control over the deposition properties are possible for the manufacturing of interconnections and metal-based MEMS.Comment: Submitted on behalf of EDA Publishing Association (http://irevues.inist.fr/handle/2042/16838

    Electrodeposition of copper using additive-containing low metal ion concentration electrolytes for EnFACE applications

    Get PDF
    In the past decade a new electrodeposition process called Electrochemical nano and micro Fabrication by flow and Chemistry (EnFACE) was developed which enabled mask-less pattern transfers onto a metallic substrate. EnFACE uses a novel acid-free, additive-free plating electrolyte containing low concentrations of metal salts (0.1 MCuSO4), as the process requires electroplating under conditions of fast kinetics and low electrolyte conductivity. However, for electronic applications,industry requires the use of additives, which improve deposit properties such as thickness uniformity, strength, ductility, and conductivity. The use of pulsed current is also known to improve deposit properties such as grain structure, mechanical strength and throwing power. Therefore, in order to use EnFACE for fabrication of industrially useful products, the effect of additives on the electrochemical behaviour and deposit properties of this process needs to be assessed. In addition, the influence of current modulation; i.e. direct current vs pulsed current, on deposit properties also warrants investigation. Potentiodynamic polarisation experiments were performed on additive-free and additive-containing EnFACE electrolyte (0.1 M CuSO₄). The additives tested were Copper Gleam A, Copper Gleam B, and chloride ions (Cl⁻). The effect of two parameters: (i) additive type and (ii) additive concentration, on cathode polarisation were studied.Copper films were electroplated on stainless steel substrates from electrolytes containing different concentrations of plating additives (0%, 17%, 33%, 50%, 100%,200% of the industry recommended additive concentration). Both direct current (DC)ABSTRACT|ii and pulsed current (PC) plating were used. The deposit was characterised using scanning electron microscope (SEM), electron back scattered diffraction (EBSD),tensile test machine (UTM), four-point probe and X-ray diffraction (XRD).Cathode polarisation occurred when the additives were used individually. Thecombination of Copper Gleam B and Cl- suggested synergistic inhibition, particularly in the diffusion-limited region. The addition of Copper Gleam A to the CopperGleam B-Cl⁻ mix increased the limiting current and suggested plating acceleration.These effects are interpreted in terms of the adsorption-desorption behavior of the additives on the cathode surface. SEM and EBSD images indicated that additives caused a concentration dependent decrease in the grain size of the deposit in both the DC and PC plated deposit. This grain refinement resulted in an increase in yield and tensile strength,but reduced the ductility and resistivity of deposits. The PC-plated copper from theEnFACE electrolytes generally possessed better mechanical properties than its DC-plated counterparts, though both plating modes created copper films that can meet industry standards. The optimum additive concentration for the EnFACE electrolyte was 50% of the recommended value when using DC plating; while the optimum was only 33% when using PC plating.In the past decade a new electrodeposition process called Electrochemical nano and micro Fabrication by flow and Chemistry (EnFACE) was developed which enabled mask-less pattern transfers onto a metallic substrate. EnFACE uses a novel acid-free, additive-free plating electrolyte containing low concentrations of metal salts (0.1 MCuSO4), as the process requires electroplating under conditions of fast kinetics and low electrolyte conductivity. However, for electronic applications,industry requires the use of additives, which improve deposit properties such as thickness uniformity, strength, ductility, and conductivity. The use of pulsed current is also known to improve deposit properties such as grain structure, mechanical strength and throwing power. Therefore, in order to use EnFACE for fabrication of industrially useful products, the effect of additives on the electrochemical behaviour and deposit properties of this process needs to be assessed. In addition, the influence of current modulation; i.e. direct current vs pulsed current, on deposit properties also warrants investigation. Potentiodynamic polarisation experiments were performed on additive-free and additive-containing EnFACE electrolyte (0.1 M CuSO₄). The additives tested were Copper Gleam A, Copper Gleam B, and chloride ions (Cl⁻). The effect of two parameters: (i) additive type and (ii) additive concentration, on cathode polarisation were studied.Copper films were electroplated on stainless steel substrates from electrolytes containing different concentrations of plating additives (0%, 17%, 33%, 50%, 100%,200% of the industry recommended additive concentration). Both direct current (DC)ABSTRACT|ii and pulsed current (PC) plating were used. The deposit was characterised using scanning electron microscope (SEM), electron back scattered diffraction (EBSD),tensile test machine (UTM), four-point probe and X-ray diffraction (XRD).Cathode polarisation occurred when the additives were used individually. Thecombination of Copper Gleam B and Cl- suggested synergistic inhibition, particularly in the diffusion-limited region. The addition of Copper Gleam A to the CopperGleam B-Cl⁻ mix increased the limiting current and suggested plating acceleration.These effects are interpreted in terms of the adsorption-desorption behavior of the additives on the cathode surface. SEM and EBSD images indicated that additives caused a concentration dependent decrease in the grain size of the deposit in both the DC and PC plated deposit. This grain refinement resulted in an increase in yield and tensile strength,but reduced the ductility and resistivity of deposits. The PC-plated copper from theEnFACE electrolytes generally possessed better mechanical properties than its DC-plated counterparts, though both plating modes created copper films that can meet industry standards. The optimum additive concentration for the EnFACE electrolyte was 50% of the recommended value when using DC plating; while the optimum was only 33% when using PC plating

    Copper Electrodeposition in Mesoscale Through-Silicon-Vias

    Get PDF
    Copper (Cu) electrodeposition (ECD) in through-silicon-vias (TSVs) is an essential technique required for high-density 3-D integration of complex semiconductor devices. The importance of Cu ECD in damascene interconnects has led to a natural development towards copper electrodeposition in TSVs. Cu ECD is preferred over alternative approaches like the chemical vapor deposition (CVD) of tungsten (W) or aluminum (Al) because Cu ECD films have lower film stress, lower processing temperatures, and more optimal thermal and electrical properties as compared with CVD W or Al. Via filling with electroplated Cu on substrates that have undergone atomic layer deposition of a conformal platinum seed metal is investigated herein. These mesoscale vias (600 μm depth, 5:1 aspect ratio) will be utilized in ultra-high-vacuum systems and thus require a uniform, void-free Cu deposit of sufficient thickness to prevent device degradation due to skin effects when RF frequencies as high as 100 V at 100 MHz are used. Conformally Cu-lined TSVs are achieved through the implementation of a complex ECD parameter scheme, and these results are compared with computational finite element modeling (FEM) outcomes. A novel, single additive chemistry is also developed and implemented to achieve fully filled void-free mesoscale TSVs within 6 hours of plating time, which represents an extraordinarily fast and controllable plating rate (100 μm/hour) for interconnect (IC) feature filling

    Development and application of an experimental concept for surface characterization of semiconductor based substrates using scanning electrochemical microscopy

    Get PDF
    In this work, evaluation of the applicability of electrochemical scanning microscopy (SECM) for semiconductor industry-relevant thin film materials was carried out. These investigations were focused on the local electrochemical characterization of electrodeposited copper layers and their growth behavior on a variety of barrier materials such as Pt, Ru, TiN, TaN, Ta, Ti, W, and TiW. A special holding device for wafer-based samples was developed to be able to handle this combined task on a laboratory scale. This multipurpose cell provided electrical contact for the thin films deposited on the silicon substrate while simultaneously sealing the sample with only a small exposed area without any complex sample preparation. Based on preliminary studies, it could be shown that local surface characterization by means of SECM as well as electrochemical copper deposition in a commercial laboratory tool on the aforementioned materials with this cell was feasible. Consequently, deposition protocols for electroplating of dense Cu films with good adhesion on various barrier materials were developed for surface characterization studies with SECM. It became apparent that conventional commercial acidic copper electrolytes were only suitable for deposition of dense and adherent films on Cu or platinoids such as Ru or Pt. In contrast, direct electroplating on Ta-, Ti- and W-based barrier thin films had shown that the deposition of dense and adherent layers was heavily dependent on potential, on electrolyte composition and process handling and was therefore inappropriate for galvanic coating on a wafer scale. On the other side, implementation of the multipurpose cell into the SECM setup revealed that the local surface characterization of semi-precious metals in conventional feedback mode was strongly restricted. It could be shown, that oxide formation and corrosion of the thin film surface on the basis of surface interaction effects in aqueous solution had a strong influence on the measurement results. Moreover, the local resolution of this technique is limited, since materials with similar conductivity cannot be distinguished as shown by approach curves studies on different metallic thin films such as Ru, Pt, Cu, TiN, TiW, W and TaN. Based on these results a non-destructive measuring concept was developed which would ensure a high electrochemical contrast between different metallic materials without mediator-based surface interferences on the measured signal. It was demonstrated that the hydrogen evolution reaction had the necessary material selectivity according to the results of chronoamperometric studies on different barrier thin films. Therefore, a mediatorless SECM concept in SG/TC mode was characterized from these findings, which showed that the aforementioned requirements were achieved. In the next step, the measurement concept was used for the electrochemical characterization of the growth of direct electroplated Cu on Ru thin films. This model system was selected since Ru did not restrict the composition of the Cu electrolyte. Therefore, it was possible to study the influence of a grain refiner such as citric acid on the early electrocrystallization stage of Cu on Ru. In a preliminary SEM-supported study, it was shown that citric acid had a strong impact on the nucleation since it effectively inhibited grain growth in the deposition process. Thus, nanocrystalline and adherent Cu layers with a grain radius of 10 nm could be formed on pure Ru surface. Since the local resolution of the SECM is dependent on the probe size, ultramicroelectrodes with a size of rtip < 10 nm are required. The fabrication of electrodes of this dimensions cannot be accomplished with existing methods. In order to electrochemically characterize the nucleation behavior of Cu on foreign substrates electrochemically, new manufacturing processes for ultramicroelectrodes in the lower nanometer range have to be developed

    ADSORPTION OF BENZYL VIOLOGEN AND POLYETHYLENE GLYCOL AND THEIR DISPLACEMENT BY 3-MERCAPTO-1-PROPANESULFONATE DURING COPPER ELECTRODEPOSITION

    Get PDF
    The fabrication of on-chip interconnects in the semi-conductor industry employs electrodeposition of copper for fabrication of lines and vertical interconnects (vias) on scales as small as a few tens of nanometers. A significant challenge is to control the distribution of current to assure void-free bottom up filling of these features, a process commonly referred to as superfilling. The presence of a combination of additives on the copper surface regulates the copper deposition rate, and in the proper concentrations produce a high deposition rate at the bottom of features and a reduced deposition rate on the planar wafer surface and upper side-walls of the features. It is known that the adsorption of the additives results in superfilling. However, there are few direct measurements of the coverage of the respective adsorbates and limited information is available for the surface chemistry of additives at various concentrations. The present study is built on the competitive adsorption model in which adsorbed benzyl viologen (BV) or polyethylene glycol (PEG) are displaced progressively from the interface by 3-mercapto-1-propane sulfonic acid (MPS) adsorption during copper electrodeposition. Adsorption of BV and interactions between BV and MPS as well as PEG and MPS were explored by chronoamperometry. During copper electrodeposition with simultaneous BV adsorption, the fractional surface coverage of BV was shown to be potential and temperature dependent. By fitting the data to the Langmuir model, it was shown the adsorption of BV on the copper electrode surface is a spontaneous exothermic process. During copper electrodeposition with displacement of BV by MPS, increased MPS concentration in the electrolyte increases the driving force for MPS adsorption and BV desorption. This potential and temperature dependent displacement was shown to be a spontaneous endothermic process. During copper electrodeposition with displacement of PEG by MPS, more negative potential, higher MPS concentration and higher temperature promotes MPS adsorption and desorption of PEG. Displacement of PEG by MPS was shown to be a spontaneous endothermic process

    Study of additives used in a copper via filling chemistry

    Get PDF
    An experimental study on the effect of additives used in a copper via-filling chemistry is carried out by electroanalytical techniques. These include potential or current pulse reversal deposition and cyclic voltammetry methods. Suppression of electrodeposition caused by polyethylene glycol (PEG) and by a commercial suppressor was examined. Effect of bis-(3-sulfopropyl)-disulfide (SPS) and a combination with the suppressor was also examined. A model based on free accelerant complex formation was used to design the experiments. Contrast in the chemical environment between the bottom and the surface of the vias was simulated on a rotating disk electrode (RDE) by variation of rotation speeds. The currents measured at low and high speeds of RDE simulate the bottom and top of the via respectively. The fill ratio, current at low speed divided by current at high speed, was used an effective screening tool to compare baths with different additive chemistries

    Capable Copper Electrodeposition Process for Integrated Circuit - Substrate Packaging Manufacturing

    Get PDF
    abstract: This work demonstrates a capable reverse pulse deposition methodology to influence gap fill behavior inside microvia along with a uniform deposit in the fine line patterned regions for substrate packaging applications. Interconnect circuitry in IC substrate packages comprises of stacked microvia that varies in depth from 20µm to 100µm with an aspect ratio of 0.5 to 1.5 and fine line patterns defined by photolithography. Photolithography defined pattern regions incorporate a wide variety of feature sizes including large circular pad structures with diameter of 20µm - 200µm, fine traces with varying widths of 3µm - 30µm and additional planar regions to define a IC substrate package. Electrodeposition of copper is performed to establish the desired circuit. Electrodeposition of copper in IC substrate applications holds certain unique challenges in that they require a low cost manufacturing process that enables a void-free gap fill inside the microvia along with uniform deposition of copper on exposed patterned regions. Deposition time scales to establish the desired metal thickness for such packages could range from several minutes to few hours. This work showcases a reverse pulse electrodeposition methodology that achieves void-free gap fill inside the microvia and uniform plating in FLS (Fine Lines and Spaces) regions with significantly higher deposition rates than traditional approaches. In order to achieve this capability, systematic experimental and simulation studies were performed. A strong correlation of independent parameters that govern the electrodeposition process such as bath temperature, reverse pulse plating parameters and the ratio of electrolyte concentrations is shown to the deposition kinetics and deposition uniformity in fine patterned regions and gap fill rate inside the microvia. Additionally, insight into the physics of via fill process is presented with secondary and tertiary current simulation efforts. Such efforts lead to show “smart” control of deposition rate at the top and bottom of via to avoid void formation. Finally, a parametric effect on grain size and the ensuing copper metallurgical characteristics of bulk copper is also shown to enable high reliability substrate packages for the IC packaging industry.Dissertation/ThesisDoctoral Dissertation Materials Science and Engineering 201

    High-aspect-ratio copper via filling used for three-dimensional chip stacking

    Get PDF
    Through-chip electrodes for three-dimensional packaging can offer short interconnection and reduced signal delay. Formation of suitable vias by electrodeposition into cavities presents a filling problem similar to that encountered in the damascene process. Because via dimensions for through-chip filling are larger and have a higher aspect ratio relative to features in damascene, process optimization requires modification of existing superconformal plating baths and plating parameters. In this study, copper filling of high-aspect-ratio through-chip vias was investigated and optimized with respect to plating bath composition and applied current wavetrain. Void-free vias 70 mu m deep and 10 mu m wide were formed in 60 min using additives in combination with pulse-reverse current and dissolved-oxygen enrichment. The effects of reverse current and dissolved oxygen on the performance of superfilling additives is discussed in terms of their effects on formation, destruction, and distribution of a Cu(I) thiolate accelerant. (c) 2005 The Electrochemical Society. All rights reserved. </p
    corecore