14 research outputs found

    Backplane Circuit Design with Amorphous Silicon Thin-Film Transistors for Flexible Displays

    Get PDF
    In recent years, rapid advancement in LED fabrication has enabled the possibility of using GaN micro-LEDs to be the light media in a display panel. It has superior performance in many aspects when compared with OLED technology, such as high contrast, wide viewing angle, and low power consumption. These advantages have enabled a possibility of using micro-LED technology to realize flexible displays. Currently, OLEDs need high mobility low-temperature-poly-silicon (LTPS) TFTs to be the backplane driving circuit material because lower mobility TFTs are inadequate to drive OLEDs. However, LTPS TFTs have poor uniformity over a large area due to unpredictable grain sizes and require additional fabrication processes which prevent it from being integrated onto a large-area flexible platform. On the other hand, conventional amorphous silicon (a-Si:H) technology used on LCD panels have an edge in terms of uniformity over large-area and low-cost fabrication. Even though the field-effect mobility of a-Si:H TFTs is much less than LTPS technology, it is sufficient to power up micro-LEDs with decent pixel density, which is impossible with OLEDs. However, the nature of amorphous materials gives rise to electrical instability issues. The output current of a-Si:H TFTs gradually decreases over time under electrical stress, which results in dimmer micro-LEDs in pixels. Moreover, the lack of complementary p-type TFTs in a-Si:H limits the integration of driver and control circuits onto the flexible platform to realize a full "system-on-flex". To overcome such shortcomings of a-Si:H technologies, this thesis makes a contribution in providing a solution to compensate the output current degradation by a novel pixel circuit with simple control scheme, as well as bootstrapped logic circuits that can be used as row driver and control circuits on flexible substrates. The proposed compensation pixel and row driver circuits can be combined to facilitate the realization of a "system-on-flex" backplane for a display panel with a-Si:H and micro-LED technologies

    Circuit design in complementary organic technologies

    Get PDF

    Electrical characterization of electronic circuits produced by inkjet printing

    Get PDF
    Dissertação de Mestrado, Engenharia Electrónica e Telecomunicações, Faculdade de Ciências e Tecnologia, Universidade do Algarve, 2014Impressão a jato de tinta tem atraído a atenção como uma nova tecnologia para a produção de dispositivos semicondutores, de grande área, a baixo custo. A eletrónica impressa irá ser fina, leve, flexível e inofensiva para o meio ambiente. Além disso, esta tecnologia possibilita a criação de uma ampla gama de componentes e circuitos eletrónicos que podem ser produzidos em massa e integrados em novas aplicações, como por exemplo nos dispositivos portáteis. Esta dissertação reflete o trabalho efetuado na caraterização elétrica de dispositivos eletrónicos impressos a jato de tinta. Resumidamente, dois tipos de dispositivos foram estudados: (a) transístores de efeito de campo em estrutura MIS (Metal-Isolador- Semicondutor) e (b) díodos retificadores. Foram abordados vários aspetos relacionados com os parâmetros individuais do dispositivo, nomeadamente, foi estudada a estabilidade operacional quando o dispositivo é sujeito a uso contínuo, efeitos de envelhecimento, variabilidade e escalabilidade. Foram fabricados e caracterizados circuitos lógicos de inversor e de porta NAND. Vários tipos de díodos retificadores foram avaliados em termos de resposta em frequência. Díodos Schottky, díodos compostos em estrutura MIS e transístores conetados como díodos. A propriedade incomum de retificação dos díodos em estrutura MIS é explicada. O díodo de tipo Schottky foi utilizado juntamente com um condensador impresso para montar um circuito retificador de meia-onda. Demonstra-se ainda, que este circuito é capaz de produzir um sinal DC retificado quando recebe na entrada uma onda sinusoidal com a frequência de 13.56 MHz. O uso deste circuito retificador como um bloco na construção de uma etiqueta de identificação por radiofrequência (RFID tag) é analisado.Ink-jet printing has been attracting attention as a new technology for low-cost, largearea production of semiconductor devices. Printed electronics will be thin, lightweight, flexible and environmentally friendly. Furthermore the technology enables a wide range of electrical components and circuits that can be massively produced and integrated in new applications such as wearable devices. This thesis reflects the work done in the electric characterization of electronic inkjet printed devices. Basically, two types of devices were studied: (a) metal-insulator semiconductor (MIS) field effect transistors and (b) rectifying diodes. We address several aspects related with individual device parameters, namely we studied the operational stability under continuous operation, ageing effects, variability and scalability. Inverter and NAND logic gate circuits were also fabricated and characterized. Several types of rectifying diodes were assessed in terms of their frequency response. Schottky type diodes, MIS capacitor diodes and diode connected transistors. The unusual rectifying property of MIS diodes is explained. The selected Schottky type diode, was used together with a printed capacitor to assemble a half-wave rectifying circuit. It is shown that this circuit provides a DC rectified signal when excited by a sinusoidal input at the frequency of 13.56 MHz. The use of this rectifying circuit as a building block for a radio frequency identification (RFID) tag is discussed

    Digital and Analog Computing Paradigms in Printed Electronics

    Get PDF
    Da das Ende von Moore\u27s Gesetz schon absehbar ist, müssen neue Wege gefunden werden um den innovationsgetriebenen IT-Markt mit neuartiger Elektronik zu sättigen. Durch den Einsatz von kostengünstiger Hardware mit flexiblem Formfaktor, welche auf neuartigen Materialien und Technologien beruhen, können neue Anwendungsbereiche erschlossen werden, welche über konventionelle siliziumbasierte Elektronik hinausgehen. Im Fokus sind hier insbesondere elektronische Systeme, welche es ermöglichen Konsumgüter für den täglichen Bedarf zu überwachen - z.B. im Zusammenhang einer Qualitätskontrolle - indem sie in das Produkt integriert werden als Teil einer intelligenten Verpackung und dadurch nur begrenzte Produktlebenszeit erfordern. Weitere vorhersehbare Anwendungsbereiche sind tragbare Elektronik oder Produkte für das "Internet der Dinge". Hier entstehen Systemanforderungen wie flexible, dehnbare Hardware unter Einsatz von ungiftigen Materialien. Aus diesem Grund werden additive Technologien herangezogen, wie zum Beispiel gedruckte Elektronik, welche als komplementär zu siliziumbasierten Technologien betrachtet wird, da sie durch den simplen Herstellungsprozess sehr geringe Produktionskosten ermöglicht, und darüber hinaus auf ungiftigen und funktionalen Materialien basiert, welche auf flexible Plastik- oder Papiersubstrate aufgetragen werden können. Unter den verschiedenen Druckprozessen ist insbesondere der Tintenstrahldruck für zukünftige gedruckte Elektronikanwendungen interessant, da er eine Herstellung vor Ort und nach Bedarf ermöglicht auf Grund seines maskenlosen Druckprozesses. Da sich jedoch die Technologie der Tintenstrahl-druckbaren Elektronik in der Frühphasenentwicklung befindet, ist es fraglich ob Schaltungen für zukünftige Anwendungsfelder überhaupt entworfen werden können, beziehungsweise ob sie überhaupt herstellbar sind. Da die laterale Auflösung von Druckprozessen sich um mehrere Größenordnungen über siliziumbasierten Herstellungstechnologien befindet und des Weiteren entweder nur p- oder n-dotierte Transistoren verfügbar sind, können existierende Schaltungsentwürfe nicht direkt in die gedruckte Elektronik überführt werden. Dies führt zu der wissenschaftlichen Fragestellung, welche Rechenparadigmen überhaupt sinnvoll anwendbar sind im Bereich der gedruckten Elektronik. Die Beantwortung dieser Frage wird Schaltungsdesignern in der Zukunft helfen, erfolgreich gedruckte Schaltungen für den sich rasch entwickelnden Konsumgütermarkt zu entwerfen und zu produzieren. Aus diesem Anlass exploriert diese Arbeit verschiedene Rechenparadigmen und Schaltungsentwürfe, welche als essenziell für zukünftige, gedruckte Systeme betrachtet werden. Die erfolgte Analyse beruht auf der recht jungen "Electrolyte-gated Transistor" (EGT) Technologie, welche auf einem kostengünstigen Tintenstrahldruckverfahren basiert und sehr geringe Betriebsspannungen ermöglicht. Da bisher nur einfache Logik-Gatter in der EGT-Technologie realisiert wurden, wird in dieser Arbeit der Entwurfsraum weiter exploriert, durch die Entwicklung von gedruckten Speicherbausteinen, Lookup Tabellen, künstliche Neuronen und Entscheidungsbäume. Besonders bei dem künstlichen Neuron und den Entscheidungsbäumen wird Bezug auf Hardware-Implementierungen von Algorithmen des maschinellen Lernens gemacht und die Skalierung der Schaltungen auf die Anwendungsebene aufgezeigt. Die Rechenparadigmen, welche in dieser Arbeit evaluiert wurden, reichen von digitalen, analogen, neuromorphen Berechnungen bis zu stochastischen Verfahren. Zusätzlich wurden individuell anpassbare Schaltungsentwürfe untersucht, welche durch das Tintenstrahldruckverfahren ermöglicht werden und zu substanziellen Verbesserungen bezüglich des Flächenbedarfs, Leistungsverbrauch und Schaltungslatenzen führen, indem variable Entwurfsparameter in die Schaltung fest verdrahtet werden. Da die explorierten Schaltungen die Komplexität von bisher hergestellter, gedruckter Hardware weit übertreffen, ist es prinzipiell nicht automatisch garantiert, dass sie herstellbar sind, was insbesondere die nicht-digitalen Schaltungen betrifft. Aus diesem Grund wurden in dieser Arbeit EGT-basierte Hardware-Prototypen hergestellt und bezüglich Flächenbedarf, Leistungsverbrauch und Latenz charakterisiert. Die Messergebnisse können verwendet werden, um eine Extrapolation auf komplexere anwendungsbezogenere Schaltungsentwürfe durchzuführen. In diesem Zusammenhang wurden Validierungen von den entwickelten Hardware-Implementierungen von Algorithmen des maschinellen Lernens durchgeführt, um einen Wirksamkeitsnachweis zu erhalten. Die Ergebnisse dieser Thesis führen zu mehreren Schlussfolgerungen. Zum ersten kann gefolgert werden, dass die sequentielle Verarbeitung von Algorithmen in gedruckter EGT-basierter Hardware prinzipiell möglich ist, da, wie in dieser Arbeit dargestellt wird, neben kombinatorischen Schaltungen auch Speicherbausteine implementiert werden können. Letzteres wurde experimentell validiert. Des Weiteren können analoge und neuromorphe Rechenparadigmen sinnvoll eingesetzt werden, um gedruckte Hardware für maschinelles Lernen zu realisieren, um gegenüber konventionellen Methoden die Komplexität von Schaltungsentwürfen erheblich zu minimieren, welches schlussendlich zu einer höheren Produktionsausbeute im Herstellungsprozess führt. Ebenso können neuronale Netzwerkarchitekturen, welche auf Stochastic Computing basieren, zur Reduzierung des Hardwareumfangs gegenüber konventionellen Implementierungen verwendet werden. Letztlich kann geschlussfolgert werden, dass durch den Tintenstrahldruckprozess Schaltungsentwürfe bezüglich Kundenwünschen während der Herstellung individuell angepasst werden können, um die Anwendbarkeit von gedruckter Hardware generell zu erhöhen, da auch hier geringerer Hardwareaufwand im Vergleich zu konventionellen Schaltungsentwürfen erreicht wird. Es wird antizipiert, dass die in dieser Thesis vorgestellten Forschungsergebnisse relevant sind für Informatiker, Elektrotechniker und Materialwissenschaftler, welche aktiv im Bereich der druckbaren Elektronik arbeiten. Die untersuchten Rechenparadigmen und ihr Einfluss auf Verhalten und wichtige Charakteristiken gedruckter Hardware geben Einblicke darüber, wie gedruckte Schaltungen in der Zukunft effizient umgesetzt werden können, um neuartige auf Druckverfahren-basierte Produkte im Elektronikbereich zu ermöglichen

    Nanopower CMOS transponders for UHF and microwave RFID systems

    Get PDF
    At first, we present an analysis and a discussion of the design options and tradeoffs for a passive microwave transponder. We derive a set of criteria for the optimization of the voltage multiplier, the power matching network and the backscatter modulator in order to optimize the operating range. In order to match the strictly power requirements, the communication protocol between transponder and reader has been chosen in a convenient way, in order to make the architecture of the passive transponder very simple and then ultra-low-power. From the circuital point of view, the digital section has been implemented in subthreshold CMOS logic with very low supply voltage and clock frequency. We present different solutions to supply power to the transponder, in order to keep the power consumption in the deep sub-µW regime and to drastically reduce the huge sensitivity of the subthreshold logic to temperature and process variations. Moreover, a low-voltage and low-power EEPROM in a standard CMOS process has been implemented. Finally, we have presented the implementation of the entire passive transponder, operating in the UHF or microwave frequency range

    Ultra-thin and flexible CMOS technology: ISFET-based microsystem for biomedical applications

    Get PDF
    A new paradigm of silicon technology is the ultra-thin chip (UTC) technology and the emerging applications. Very thin integrated circuits (ICs) with through-silicon vias (TSVs) will allow the stacking and interconnection of multiple dies in a compact format allowing a migration towards three-dimensional ICs (3D-ICs). Also, extremely thin and therefore mechanically bendable silicon chips in conjunction with the emerging thin-film and organic semiconductor technologies will enhance the performance and functionality of large-area flexible electronic systems. However, UTC technology requires special attention related to the circuit design, fabrication, dicing and handling of ultra-thin chips as they have different physical properties compared to their bulky counterparts. Also, transistors and other active devices on UTCs experiencing variable bending stresses will suffer from the piezoresistive effect of silicon substrate which results in a shift of their operating point and therefore, an additional aspect should be considered during circuit design. This thesis tries to address some of these challenges related to UTC technology by focusing initially on modelling of transistors on mechanically bendable Si-UTCs. The developed behavioural models are a combination of mathematical equations and extracted parameters from BSIM4 and BSIM6 modified by a set of equations describing the bending-induced stresses on silicon. The transistor models are written in Verilog-A and compiled in Cadence Virtuoso environment where they were simulated at different bending conditions. To complement this, the verification of these models through experimental results is also presented. Two chips were designed using a 180 nm CMOS technology. The first chip includes nMOS and pMOS transistors with fixed channel width and two different channel lengths and two different channel orientations (0° and 90°) with respect to the wafer crystal orientation. The second chip includes inverter logic gates with different transistor sizes and orientations, as in the previous chip. Both chips were thinned down to ∼20m using dicing-before-grinding (DBG) prior to electrical characterisation at different bending conditions. Furthermore, this thesis presents the first reported fully integrated CMOS-based ISFET microsystem on UTC technology. The design of the integrated CMOS-based ISFET chip with 512 integrated on-chip ISFET sensors along with their read-out and digitisation scheme is presented. The integrated circuits (ICs) are thinned down to ∼30m and the bulky, as well as thinned ICs, are electrically and electrochemically characterised. Also, the thesis presents the first reported mechanically bendable CMOS-based ISFET device demonstrating that mechanical deformation of the die can result in drift compensation through the exploitation of the piezoresistive nature of silicon. Finally, this thesis presents the studies towards the development of on-chip reference electrodes and biodegradable and ultra-thin biosensors for the detection of neurotransmitters such as dopamine and serotonin

    ISPRA Nuclear Electronics Symposium. EUR 4289.

    Get PDF
    corecore