116 research outputs found

    Silicon Carbide in Microsystem Technology — Thin Film Versus Bulk Material

    Get PDF
    This chapter looks at the role of silicon carbide (SiC) in microsystem technology. It starts with an introduction into the wide bandgap (WBG) materials and the properties that make them potential candidates to enable the development of harsh environment microsystems. The future commercial success of WBG microsystems depends mainly on the availability of high-quality materials, well-established microfabrication processes, and economic viability. In such aspects SiC platform, in relation to other WBG materials, provides a clear and competitive advantage. The reasons for this will be detailed. Furthermore, the current status of the SiC thin film and bulk material technologies will also be discussed. Both SiC material forms have played important roles in different microsystem types

    Physics and Technology of Silicon Carbide Devices

    Get PDF
    Recently, some SiC power devices such as Schottky-barrier diodes (SBDs), metal-oxide-semiconductor field-effect-transistors (MOSFETs), junction FETs (JFETs), and their integrated modules have come onto the market. However, to stably supply them and reduce their cost, further improvements for material characterizations and those for device processing are still necessary. This book abundantly describes recent technologies on manufacturing, processing, characterization, modeling, and so on for SiC devices. In particular, for explanation of technologies, I was always careful to argue physics underlying the technologies as much as possible. If this book could be a little helpful to progress of SiC devices, it will be my unexpected happiness

    Silicon and Silicon Carbide Recrystallization by Laser Annealing: A Review

    Get PDF
    Modifying material properties within a specific spatial region is a pivotal stage in the fabrication of microelectronic devices. Laser annealing emerges as a compelling technology, offering precise control over the crystalline structure of semiconductor materials and facilitating the activation of doping ions in localized regions. This obviates the necessity for annealing the entire wafer or device. The objective of this review is to comprehensively investigate laser annealing processes specifically targeting the crystallization of amorphous silicon (Si) and silicon carbide (SiC) samples. Silicon finds extensive use in diverse applications, including microelectronics and solar cells, while SiC serves as a crucial material for developing components designed to operate in challenging environments or high-power integrated devices. The review commences with an exploration of the underlying theory and fundamentals of laser annealing techniques. It then delves into an analysis of the most pertinent studies focused on the crystallization of these two semiconductor materials

    Silicon Carbide Capacitive High Temperature MEMS Strain Transducer

    Get PDF
    Air Force Research Lab Air Vehicles directorate performs research on hypersonic vehicles. To verify materials or designs of hypersonic vehicles, they have a need to measure strain at temperatures exceeding 700°C. Strain sensors have the ability to measure strain. Strain is the deformation of materials due to internal stresses in a material. Internal stresses occur when a material is subjected to a force. Traditional strain sensors use Piezoresistive effects to measure strain, which is temperature dependent and making them unusable at high temperatures. This paper discusses a novel strain sensing device, sensing capacitance instead of piezoresistance. The strain sensor is modeled mathematically and simulated using Coventorware?. The results are presented here, along with recommendations for future work

    Characterization of 3C-silicon carbide for advance applications

    Get PDF
    3C-Silicon Carbide (SiC) is a potential material for biomedical microdevices due to its excellent electrical, material and biocompatibility properties. Here, the fabrication processes for n-type 3C-SiC membranes using epitaxial 3C-SiC layers grown on Silicon (Si) substrate are presented and discussed in detail. Membranes of n-type 3C-SiC were fabricated using standard photolithography, reactive ion etching (RIE) and wet etching of Si substrates and could withstand small applied forces. Subsequently, the membranes were able to be patterned with patterns such as Circular Transmission Line Models (CTLM) patterns. Scanning electron microscope (SEM) micrographs were used to observe the structure of the membranes. The quality of the 3C-SiC membranes were observed using Raman Spectroscopy and Visible Transmission Spectra. The remains of <111> Si substrate which was unetched during the Si wet etching were represented with the formation of microstructure defects which showed distinct peaks in comparison to the high quality 3C-SiC membranes at different position. X-Ray Photoelectron Spectroscopy (XPS) revealed the effect of RIE where fluorinated plasma had introduced fluorine elements into the membranes’ surface. Surface modification due to reactive ion etching (RIE) process had significant impact on the electrical properties of the sample. The non linearity of current-voltage (I-V) characteristics for the samples treated with fluorinated plasma was observed which were absent for the control 3C-SiC samples. . Measurements of specific contact resistance, ρc for Al/3C-SiC and Pd/3C-SiC contacts with pre-treatment by reactive ion etching in CF4 plasma were 3 orders of magnitude higher (ρc = 2 x 10-1 Ωcm2) than for as-grown SiC or KOH treated surfaces (ρc = 4 x 10-5 - 8.9 x 10-4 Ωcm2). Annealing of Al/3C-SiC contacts resulted in a progressive increase in ρc with increase in temperature to 600 °C. In this program, it is found that the substrate effect on the electrical characteristic properties of 3C-SiC/Si can be neglected at room temperature. However, the application of 3C-SiC membrane to determine the electrical characteristics is the best way to eliminate any possibility of current leakage into the substrate for this type of system. Cells grown on 3C-SiC were stellular and flattened showing good adhesion to the material as compared to cells on Si. The number of the cells grown on the 3C-SiC samples showed superior figure in comparison to Si which indicates higher biocompatibility. However, the number of cells was found to be less for the plasma treated samples, even compared with Si. This result was annulled after a series of experiments using typical assay used for cell viability detection such as MTT assay and PrestoBlueTM reagent where the plasma treated samples had the best cell viability percentage of all. By using hemolytic activity assessment, the plasma treated 3C-SiC was found to be decisively hemocompatible

    SiC Technology

    Get PDF
    Silicon carbide (SiC)-based semiconductor electronic devices and circuits are presently being developed for use in high-temperature, high-power, and/or high-radiation conditions under which conventional semiconductors cannot adequately perform. Silicon carbide's ability to function under such extreme conditions is expected to enable significant improvements to a far-ranging variety of applications and systems. These range from greatly improved high-voltage switching [1- 4] for energy savings in public electric power distribution and electric motor drives to more powerful microwave electronics for radar and communications [5-7] to sensors and controls for cleaner-burning more fuel-efficient jet aircraft and automobile engines. In the particular area of power devices, theoretical appraisals have indicated that SiC power MOSFET's and diode rectifiers would operate over higher voltage and temperature ranges, have superior switching characteristics, and yet have die sizes nearly 20 times smaller than correspondingly rated silicon-based devices [8]. However, these tremendous theoretical advantages have yet to be realized in experimental SiC devices, primarily due to the fact that SiC's relatively immature crystal growth and device fabrication technologies are not yet sufficiently developed to the degree required for reliable incorporation into most electronic systems [9]. This chapter briefly surveys the SiC semiconductor electronics technology. In particular, the differences (both good and bad) between SiC electronics technology and well-known silicon VLSI technology are highlighted. Projected performance benefits of SiC electronics are highlighted for several large-scale applications. Key crystal growth and device-fabrication issues that presently limit the performance and capability of high temperature and/or high power SiC electronics are identified

    Formation of Epitaxial Graphene Layers on 6H-SiC Induced by Electron Beam Irradiation

    Get PDF
    Device PhysicsIt is observed that the epitaxial graphene layers form on the surface of a 6H-SiC substrate by electron beam irradiation. The electron beam (e-beam) is irradiated by using a commercial electron beam evaporator with acceleration voltage 8kV in high vacuum environment. Raman spectrum measurements show all relevant peaks (D, G, 2D) clearly, confirming the formation of graphene layers. The structural analysis is confirmed by using TEM (Transmission Electron Microscopy). The result of formed graphene layers thickness measurement is verified 7 ~ 8 layers of graphene. It is checked out that thickness of 1 layer is approximately 0.32 nm. The elemental composition of the epitaxial graphene layer is measured with AES (Auger Electron Spectroscopy) and XPS (X-ray Photoelectron Spectroscopy). The sheet resistance of the epitaxial graphene layer which is measured by forming CTLM (Circular Transmission Line Model) patterns on the irradiated 6H-SiC surface is found to decrease at least 1000 times, compared with the original 6H-SiC substrate. The sheet resistance and contact resistance of graphene layer are 6.7 k??/??? and 0.18 k?? respectively. Also, the quality of the graphene layer in terms of Raman spectrum and sheet resistance is found to be improved noticeably as the electron fluence (e/cm2) is increased by making the irradiation time longer.ope

    A Multifunctional MEMS Pressure and Temperature Sensor for Harsh Environment Applications

    Get PDF
    The objective of this thesis was to develop a fast-response multifunctional MEMS (Micro Electro Mechanical Systems) sensor for the simultaneous measurement of in-cylinder pressure and temperature in an internal combustion (IC) engine. In a representative IC engine, the pressure and temperature can reach up to about 1.6 MPa and 580 °C, respectively, at the time of injection during the compression stroke. At the peak of the combustion process, the pressure and temperature near the cylinder wall can go beyond 6 MPa and 1000 °C, respectively. Failure of current membrane-based MEMS pressure sensors operating at high temperatures is mainly caused by cross-sensitivity to temperature, which affects the pressure readout. In addition, the slow thermal response of temperature sensors used for such a dynamic application makes real-time sensing within a combustion engine very challenging. While numerous approaches have been taken to address these issues, no MEMS sensor has yet been reported that can carry out real-time measurements of in-cylinder pressure and temperature. The operation of the sensor proposed in this Thesis is based on a new non-planar and flexible multifunctional membrane, which responds to both pressure and temperature variations at the same time. The new design draws from standard membrane-based pressure and thermostatic-based temperature MEMS sensing principles to output two capacitance values. A numerical processing scheme uses these values to create a characteristic sensing plot which then serves to decouple the effects of pressure and temperature variations. This sensing scheme eliminates the effect of cross-sensitivity at high temperatures, while providing a short thermal response time. Thermal, mechanical and electrical aspects of the sensor performance were modeled. First, a semi-analytical thermo-mechanical model, based on classic beam theory, was tailored to the shape of the multifunctional membrane to determine the sensor’s response to pressure and temperature loading. ANSYS® software was used to verify this semi-analytical model against finite element simulations. Then the model was then used to calculate the capacitive outputs of the multifunctional MEMS sensor subjected to in-cylinder pressure and temperature loading during a complete cycle of operation of a typical IC engine as well as to optimize the sensor specifications. Several prototypes of the new sensing mechanism fabricated using the PolyMUMPs® foundry process were tested to verify its thermal behavior up to 125 °C. The experiments were performed using a ceramic heater mounted on a probe station with the device connected to a precision LCR-meter for capacitive readouts. Experimental results show good agreement of the temperature response of the sensor with the ANSYS® finite element simulations. Further simulations of the pressure and temperature response of different configurations of the multifunctional MEMS sensor were carried out. The simulations were performed on an array of 4200 multifunctional devices, each featuring a 0.5 µm thick silicon carbide membrane with an area of 25×25 µm2, connected in parallel shows that the optimized sensor system can provide an average sensitivity to pressure of up to 1.55 fF/KPa (over a pressure range of 0.1-6 MPa) and an average sensitivity to temperature of about 4.62 fF/°C (over a temperature range of 160-1000 °C) with a chip area of approximately 4.5 mm2. Assuming that the accompanying electronics can meaningfully measure a minimum capacitance change of 1 fF, this optimized sensor configuration has the potential to sense a minimum pressure change of less than 1 KPa and a minimum temperature change of less than 0.35 °C over the entire working range of the representative IC engine indicated above. In summary, the new developed multifunctional MEMS sensor is capable of measuring temperature and pressure simultaneously. The unique design of the membrane of the sensor minimizes the effect of cross-sensitivity to temperature of current MEMS pressure sensors and promises a short thermal response time. When materials such as silicon carbide are used for its fabrication, the new sensor may be used for real-time measurement of in-cylinder pressure and temperature in IC engines. Furthermore, a systematic optimization process is utilized to arrive at an optimum sensor design based on both geometry and properties of the sensor fabrication materials. This optimization process can also be used to accommodate other sensor configurations depending on the pressure and temperature ranges being targeted

    Feature Papers in Electronic Materials Section

    Get PDF
    This book entitled "Feature Papers in Electronic Materials Section" is a collection of selected papers recently published on the journal Materials, focusing on the latest advances in electronic materials and devices in different fields (e.g., power- and high-frequency electronics, optoelectronic devices, detectors, etc.). In the first part of the book, many articles are dedicated to wide band gap semiconductors (e.g., SiC, GaN, Ga2O3, diamond), focusing on the current relevant materials and devices technology issues. The second part of the book is a miscellaneous of other electronics materials for various applications, including two-dimensional materials for optoelectronic and high-frequency devices. Finally, some recent advances in materials and flexible sensors for bioelectronics and medical applications are presented at the end of the book
    corecore