21 research outputs found

    Electromigration time-to-failure analysis using a lumped element model

    Get PDF
    This thesis presents a theoretical and computer simulation of electromigration behaviour in the Integrated Circuit (IC) interconnection, with a particular emphasis on the analysis of the time-to-failure (TTF) produced through the Lumped Element model. The current and most accepted physical model for electromigration is the Stress Evolution Model which forms the basis for the development of the current Lumped Element Model. For early failures, and ignoring transport through the grain bulk, the problem reduces to that of solving the equations for stress evolution equation on the complex grain boundary networks which make the cluster sections of the near-bamboo interconnect. The present research attempts to show that the stress evolution in a grain boundary cluster network mimics the time development of the voltage on an equivalent, lumped CRC electrical network. [Continues.

    Reliability of copper interconnects in integrated circuits

    Get PDF
    Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Materials Science and Engineering, 2007.Includes bibliographical references.As dimensions shrink and current densities increase, the reliability of metal interconnects becomes a serious concern. In copper interconnects, the dominant diffusion path is along the interface between the copper and the top passivation layer (usually Si3N4). One of the predominant failure mechanisms in Cu has been open-circuit failure due to electromigration-induced void nucleation and growth near the cathode ends of interconnect segments. However, results from accelerated electromigration tests show that the simple failure analyses based on simple void nucleation and growth can not explain the wide range of times-to-failure that are observed, suggesting that other types of failure mechanisms are present. In this thesis, by devising and performing unique experiments through the development of an electromigration simulation tool, unexpected complex failure mechanisms have been identified that have significant effects on the reliability of copper interconnects. A simulation tool was developed by implementing the one-dimensional non-linear differential equation model first described by Korhonen et al. By applying an implicit method (Backward Euler method), the calculation time was significantly reduced, and stability increased, compared to previous tools based on explicit methods (Forward Euler method).(cont.) The tool was crosschecked with experimental results by comparing void growth rates in simulations and experiments. Using this tool, one can simulate stress and atomic concentration states over the entire length of an interconnect segment or throughout a multi-segment interconnect tree, to identify analyze possible failure locations and mechanisms. Experiments were carried out on dotted-i structures, where two 25jim-lomg segments were connected by a via in the middle. Electrical currents were applied to the two segments independently, and lifetime effects of adjacent segments were determined. Using the simulation tool and calculations, it was shown that adjacent segments have a significant effect on a segment's stress state, even if the adjacent segment has no electrical current. This explains experimental observations. This also suggests that for reliability analyses to be accurate, the states of all adjacent segments must be considered, including the ones without electrical current. In a second set of experiments, the importance of pre-existing voids was investigated. Using in-situ scanning electron microscopy, voids away from the cathode were observed. These voids grew and drifted toward the cathode and the shape of the voids were found to be closely related to the texture and stress state of individual grains in the interconnect.(cont.) The drift velocity of voids was shown to be directly proportional to surface diffusivity. Electromigration tests on unpassivated samples were performed under vacuum to obtain the surface diffusivity of copper and its dependence on texture orientations. Simulation results show that pre-existing voids cause void growth away from the cathode. Subsequent failure mechanisms differ depending on the location of the pre-existing void and the critical void volume for de-pinning from grain boundaries. If pre-existing voids are present, void-growth-limited failure is expected in interconnects at low current densities, due to growth of pre-existing void, and the lifetimes are expected to scale inversely with j. However, at higher current densities (typical for accelerated testing), failure can occur through nucleation of new voids at the cathode (so that lifetimes scale inversely with j2), or through a mixture of nucleation of new voids and growth of pre-existing voids. These effects must be taken into account to accurately project the reliability of interconnects under service conditions, based on experiments carried out under accelerated conditions.by Zung-Sun Choi.Ph.D

    New methodologies for interconnect reliability assessments of integrated circuits

    Get PDF
    Thesis (Ph.D.)--Massachusetts Institute of Technology, Dept. of Materials Science and Engineering, 2000.Includes bibliographical references (leaves 245-251).The stringent performance and reliability demands that will accompany the development of next-generation circuits and new metallization technologies will require new and more accurate means of assessing interconnect reliability. Reliability assessments based on conventional methodologies are flawed in a number of very important ways, including the disregard of the effects of complex interconnect geometries on reliability. New models, simulations and experimental methodologies are required for the development of tools for circuit-level and process-sensitive reliability assessments. Most modeling and experimental characterization of interconnect reliability has focused on simple straight lines terminating at pads or vias. However, laid-out integrated circuits usually have many interconnects with junctions and wide-to-narrow transitions. In carrying out circuit-level reliability assessments it is important to be able to assess the reliability of these more complex shapes, generally referred to as "trees". An interconnect tree consists of continuously connected high-conductivity metal within one layer of metallization. Trees terminate at diffusion barriers at vias and contacts, and, in the general case, can have more than one terminating branch when the tree includes junctions. We have extended the understanding of "immortality" demonstrated and analyzed for straight stud-to-stud lines, to trees of arbitrary complexity. We verified the concept of immortality in interconnect trees through experiments on simple tree structures. This leads to a hierarchical approach for identifying immortal trees for specific circuit layouts and models for operation. We suggest a computationally efficient and flexible strategy for assessment of the reliability of entire integrated circuits. The proposed hierarchical reliability analysis can provide reliability assessments during the design and layout process (Reliability Computer Aided Design, RCAD). Design rules are suggested based on calculations of the electromigration-induced development of inhomogeneous steadystate mechanical stress states. Failure of interconnects by void nucleation in single-layermetallization, as well as failure by void growth in the presence of refractory metal shunt layers are taken into account. The proposed methodology identifies a large fraction of interconnect trees in a typical design as immune to electromigration-induced failure. To complete a circuit-level-reliability analysis, it is also necessary to estimate the lifetimes of the mortal trees. We have developed simulation tools that allow modeling of stress evolution and failure in arbitrarily complex trees. We have demonstrated the validity of these models and simulations through comparisons with experiments on simple trees, such as "L"- and "T"-shaped trees with different current configurations. Because analyses made using simulations are computationally intensive, simulations should be used for analysis of the least reliable trees. The reliability of the majority of the mortal trees can be assessed using a conservative default model based on nodal reliability analyses for the assessment of electromigration-limited reliability of interconnect trees. The lifetimes of the nodes are calculated by estimating the times for void nucleation, void growth to failure, and formation of extrusions. The differences between straight stud-to-stud lines and interconnect trees are studied by investigating the effects of passive and active reservoirs on electromigration. Models and simulations were validated through comparisons with experiments on simple tree structures, such as lines broken into two limbs with different currents in each limb. Models, simulations and experimental results on the reliability of interconnect trees are shown to yield mutually consistent results. Taken together, the results from this research have provided the basis for the development of the first RCAD tool capable of accurate circuit-level, processing sensitive and layout-specific reliability analyses.by Stefan P. Hau-Riege.Ph.D

    Microstructure evolution and interconnect realiability

    Get PDF
    Thesis (Ph.D.)--Massachusetts Institute of Technology, Dept. of Civil and Environmental Engineering, 2000.Includes bibliographical references (leaves 207-213).In the context of predicting the effects of geometry, microstructure, and processing conditions on electromigration (EM) induced interconnect failure, normal grain growth in thin films was studied, analytic models were built for the grain structure statistics in 2D and 3D interconnects, and simulation programs were developed for generation of process and complex-geometry-sensitive interconnect structures. The models were validated through simulations and experiments and were integrated into tools for circuit-design level interconnect reliability predictions. The universal scaling behavior of 2D normal grain growth was demonstrated and characterized using a simulation of 2D grain growth (GGSim). We showed that the constant rate of change of the average grain area is equal to the grain boundary mobility constant pt. We also found that the steady state grain size distribution obtained using our simulation technique, as well as those reported in experiments on simple model systems and those reported for very different simulation techniques, are all very well fit by a Weibull distribution function with the dimensionless parameter p = 5/2, and are better fit by this function than the log normal, Gamma or Rayleigh functions. The 2D simulation was used to simulate the development of film structures with drag induced lognormal grain size distributions from which interconnect strips were etched and then annealed, in order to analyze the statistics of as-patterned, as well as post-pattern annealed, interconnect grain structures. These statistics were characterized as a function of the ratios of the line-widths to the initial-grain-sizes. Among the important findings is that polygranular cluster and bamboo segment length distributions for as-patterned lines are best fit by Weibull distribution functions. Analytic formulae describing grain structure statistics were reported, for usage in EM simulations and reliability predictions. A differential model predicting the evolution of the polygranular cluster length distribution during post-patterning annealing was developed. It was shown that the rate of bamboo-segment nucleation per unit time and unit of untransformed length is proportional to [mu]/w 3 , and is negligible in the growth-dominated steady-state. The cluster shrinkage velocity was demonstrated to reach a constant steady-state value proportional to [mu]/w (assuming constant and uniform [mu]). This was shown to lead to a time-invariant, steady-state exponential cluster length distribution with an average cluster length proportional to the strip width, and a cluster length fraction decaying exponentially with U=[mu]/w2 . The distribution of grain lengths in the resulting final bamboo grain structure is well fit by a log normal distribution, with a median grain length scaling with the line width, and a line-width-independent normalized deviation in the grain length. This result was used to show, using an EM simulation, that grain-orientation-dependent variations in surface diffusivities constitute a likely cause for the variabilities in lifetimes observed experimentally. The 2D simulation GGSim was also substantially modified to simulate the patterning of interconnect features of general shapes from polygranular thin film structures, as well as to simulate further grain structure evolution due to post-patterning annealing in these complex shapes. A grain structure extraction tool, PolySeg, was developed to allow extraction of the atomic transport details in the case of complex interconnect trees for EM reliability predictions using EM simulations. To assess the 3D effects on grain structure evolution, and therefore on interconnect reliability, a soap froth experiment was used to study 3D normal grain growth in long rectangular prisms. The kinetics were found to scale with the normalized time [mu]/w 2 (with w being the largest of the two prism cross-sectional dimensions). It was found that the normalized duration of the conversion from 3D (non-columnar) to 2D (columnar) structures and the normalized duration of the initial phase during which the structure was polygranular became longer as w/h approached 1. The same results obtained in the 2D case for the scaling behaviors of the bamboo nucleation rate and the polygranular cluster shrinkage rate were demonstrated. Based on a 2D approach, a prism-geometry-sensitive analytic model was developed for the transformation to fully-bamboo structures. These results were compared with preliminary results obtained using a 3D grain growth simulation and qualitative agreement was demonstrated. We have successfully captured with simple analytic models as well as elaborate simulations the physics of microstructure evolution in complex patterned thin-film structures. In particular, we have developed an array of models and simulations that can be used to investigate the impact of geometry and process history on microstructure evolution, and ultimately on EM-induced failure statistics.by Walid R. Fayad.Ph.D

    A CAD tool for the prediction of VLSI interconnect reliability.

    Get PDF
    Thesis (Ph.D.)-University of Natal, Durban, 1988.This thesis proposes a new approach to the design of reliable VLSI interconnects, based on predictive failure models embedded in a software tool for reliability analysis. A method for predicting the failure rate of complex integrated circuit interconnects subject to electromigration, is presented. This method is based on the principle of fracturing an interconnect pattern into a number of statistically independent conductor segments. Five commonly-occurring segment types are identified: straight runs, steps resulting from a discontinuity in the wafer surface, contact windows, vias and bonding pads. The relationship between median time-to-failure (Mtf) of each segment and physical dimensions, temperature and current density are determined. This model includes the effect of time-varying current density. The standard deviation of lifetime is also determined as a function of dimensions. A· minimum order statistical method is used to compute the failure rate of the interconnect system. This method, which is applicable to current densities below 106 AI cm2 , combines mask layout and simulation data from the design data base with process data to calculate failure rates. A suite of software tools called Reliant (RELIability Analyzer for iNTerconnects) which implements the algorithms described above, is presented. Reliant fractures a conductor pattern into segments and extracts electrical equivalent circuits for each segment. The equivalent circuits are used in conjunction with a modified version of the SPICE circuit simulator to determine the currents in all segments and to compute reliability. An interface to a data base query system provides the capability to access reliability data interactively. The performance of Reliant is evaluated, based on two CMOS standard cell layouts. Test structures for the calibration of the reliability models are provided. Reliant is suitable for the analysis of leaf cells containing a few hundred transistors. For MOS VLSI circuits, an alternative approach based on the use of an event-driven switch-level simulator is presented

    Design tool and methodologies for interconnect reliability analysis in integrated circuits

    Get PDF
    Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2004.This electronic version was submitted by the student author. The certified thesis is available in the Institute Archives and Special Collections.Includes bibliographical references (p. 195-204).by Syed Mohiul Alam.Ph.D

    STUDY OF TUNGSTEN NANOWIRES GROWN BY FIELD EMISSON INDUCED METHOD

    Get PDF
    Ph.DDOCTOR OF PHILOSOPH
    corecore