6 research outputs found

    An 80 mW 40 Gb/s 7-Tap T

    Full text link

    56+ Gb/s serial transmission using duo-binary signaling

    Get PDF
    In this paper we present duobinary signaling as an alternative for signaling schemes like PAM4 and Ensemble NRZ that are currently being considered as ways to achieve data rates of 56 Gb/s over copper. At the system level, the design includes a custom transceiver ASIC. The transmitter is capable of equalizing 56 Gb/s non-return to zero (NRZ) signals into a duobinary response at the output of the channel. The receiver includes dedicated hardware to decode the duobinary signal. This transceiver is used to demonstrate error-free transmission for different PCB channel lengths including a state-of-the-art Megtron 6 backplane demonstrator

    ๋Œ€์—ญํญ ์ฆ๋Œ€ ๊ธฐ์ˆ ์„ ์ด์šฉํ•œ ์ „๋ ฅ ํšจ์œจ์  ๊ณ ์† ์†ก์‹  ์‹œ์Šคํ…œ ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2022.2. ์ •๋•๊ท .The high-speed interconnect at the datacenter is being more crucial as 400 Gb Ethernet standards are developed. At the high data rate, channel loss re-quires bandwidth extension techniques for transmitters, even for short-reach channels. On the other hand, as the importance of east-to-west connection is rising, the data center architectures are switching to spine-leaf from traditional ones. In this trend, the number of short-reach optical interconnect is expected to be dominant. The vertical-cavity surface-emitting laser (VCSEL) is a com-monly used optical modulator for short-reach interconnect. However, since VCSEL has low bandwidth and nonlinearity, the optical transmitter also needs bandwidth-increasing techniques. Additionally, the power consumption of data centers reaches a point of concern to affect climate change. Therefore, this the-sis focuses on high-speed, power-efficient transmitters for data center applica-tions. Before the presenting circuit design, bandwidth extension techniques such as fractionally-spaced feed-forward equalizer (FFE), on-chip transmission line, inductive peaking, and T-coil are mathematically analyzed for their effec-tiveness. For the first chip, a power and area-efficient pulse-amplitude modulation 4 (PAM-4) transmitter using 3-tap FFE based on a slow-wave transmission line is presented. A passive delay line is adopted for generating an equalizer tap to overcome the high clocking power consumption. The transmission line achieves a high slow-wave factor of 15 with double floating metal shields around the differential coplanar waveguide. The transmitter includes 4:1 multi-plexers (MUXs) and a quadrature clock generator for high-speed data genera-tion in a quarter-rate system. The 4:1 MUX utilizes a 2-UI pulse generator, and the input configuration is determined by qualitative analysis. The chip is fabri-cated in 65 nm CMOS technology and occupies an area of 0.151 mm2. The proposed transmitter system exhibits an energy efficiency of 3.03 pJ/b at the data rate of 48 Gb/s with PAM-4 signaling. The second chip presents a power-efficient PAM-4 VCSEL transmitter using 3-tap FFE and negative-k T-coil. The phase interpolators (PIs) generate frac-tionally-spaced FFE tap and correct quadrature phase error. The PAM-4 com-bining 8:1 MUX is proposed rather than combining at output driver with double 4:1 MUXs to reduce serializing power consumption. T-coils at the internal and output node increase the bandwidth and remove inter-symbol interference (ISI). The negative-k T-coil at the output network increases the bandwidth 1.61 times than without T-coil. The VCSEL driver is placed on the high VSS domain for anode driving and power reduction. The chip is fabricated in 40 nm CMOS technology. The proposed VCSEL transmitter operates up to 48 Gb/s NRZ and 64 Gb/s PAM-4 with the power efficiency of 3.03 pJ/b and 2.09 pJ/b, respec-tively.400Gb ์ด๋”๋„ท ํ‘œ์ค€์ด ๊ฐœ๋ฐœ๋จ์— ๋”ฐ๋ผ ๋ฐ์ดํ„ฐ ์„ผํ„ฐ์˜ ๊ณ ์† ์ƒํ˜ธ ์—ฐ๊ฒฐ์ด ๋”์šฑ ์ค‘์š”ํ•ด์ง€๊ณ  ์žˆ๋‹ค. ๋†’์€ ๋ฐ์ดํ„ฐ ์†๋„์—์„œ์˜ ์ฑ„๋„ ์†์‹ค์— ์˜ํ•ด ๋‹จ๊ฑฐ๋ฆฌ ์ฑ„๋„์˜ ๊ฒฝ์šฐ์—๋„ ์†ก์‹ ๊ธฐ์— ๋Œ€ํ•œ ๋Œ€์—ญํญ ํ™•์žฅ ๊ธฐ์ˆ ์ด ํ•„์š”ํ•˜๋‹ค. ํ•œํŽธ, ๋ฐ์ดํ„ฐ ์„ผํ„ฐ ๋‚ด ๋™-์„œ ์—ฐ๊ฒฐ์˜ ์ค‘์š”์„ฑ์ด ๋†’์•„์ง€๋ฉด์„œ ๋ฐ์ดํ„ฐ ์„ผํ„ฐ ์•„ํ‚คํ…์ฒ˜๊ฐ€ ๊ธฐ์กด์˜ ์•„ํ‚คํ…์ฒ˜์—์„œ ์ŠคํŒŒ์ธ-๋ฆฌํ”„๋กœ ์ „ํ™˜๋˜๊ณ  ์žˆ๋‹ค. ์ด๋Ÿฌํ•œ ์ถ”์„ธ์—์„œ ๋‹จ๊ฑฐ๋ฆฌ ๊ด‘ํ•™ ์ธํ„ฐ์ปค๋„ฅํŠธ์˜ ์ˆ˜๊ฐ€ ์ ์ฐจ ์šฐ์„ธํ•ด์งˆ ๊ฒƒ์œผ๋กœ ์˜ˆ์ƒ๋œ๋‹ค. ์ˆ˜์ง ์บ๋น„ํ‹ฐ ํ‘œ๋ฉด ๋ฐฉ์ถœ ๋ ˆ์ด์ €(VCSEL)๋Š” ์ผ๋ฐ˜์ ์œผ๋กœ ๋‹จ๊ฑฐ๋ฆฌ ์ƒํ˜ธ ์—ฐ๊ฒฐ์„ ์œ„ํ•ด ์‚ฌ์šฉ๋˜๋Š” ๊ด‘ํ•™ ๋ชจ๋“ˆ๋ ˆ์ดํ„ฐ์ด๋‹ค. VCSEL์€ ๋‚ฎ์€ ๋Œ€์—ญํญ๊ณผ ๋น„์„ ํ˜•์„ฑ์„ ๊ฐ€์ง€๊ณ  ์žˆ๊ธฐ ๋•Œ๋ฌธ์—, ๊ด‘ ์†ก์‹ ๊ธฐ๋„ ๋Œ€์—ญํญ ์ฆ๊ฐ€ ๊ธฐ์ˆ ์„ ํ•„์š”๋กœ ํ•œ๋‹ค. ๋˜ํ•œ, ๋ฐ์ดํ„ฐ ์„ผํ„ฐ์˜ ์ „๋ ฅ ์†Œ๋น„๋Š” ๊ธฐํ›„ ๋ณ€ํ™”์— ์˜ํ–ฅ์„ ๋ฏธ์น  ์ˆ˜ ์žˆ๋Š” ์šฐ๋ ค ์ง€์ ์— ๋„๋‹ฌํ–ˆ๋‹ค. ๋”ฐ๋ผ์„œ, ๋ณธ ๋…ผ๋ฌธ์€ ๋ฐ์ดํ„ฐ ์„ผํ„ฐ ์‘์šฉ์„ ์œ„ํ•œ ๊ณ ์† ์ „๋ ฅ ํšจ์œจ์ ์ธ ์†ก์‹ ๊ธฐ์— ์ดˆ์ ์„ ๋งž์ถ”๊ณ  ์žˆ๋‹ค. ํšŒ๋กœ ์„ค๊ณ„๋ฅผ ์ œ์‹œํ•˜๊ธฐ ์ „์—, ๋ถ€๋ถ„ ๊ฐ„๊ฒฉ ํ”ผ๋“œ-ํฌ์›Œ๋“œ ์ดํ€„๋ผ์ด์ € (FFE), ์˜จ์นฉ ์ „์†ก์„ ๋กœ, ์ธ๋•ํ„ฐ, T-์ฝ”์ผ๊ณผ ๊ฐ™์€ ๋Œ€์—ญํญ ํ™•์žฅ ๊ธฐ์ˆ ์„ ์ˆ˜ํ•™์ ์œผ๋กœ ๋ถ„์„ํ•œ๋‹ค. ์ฒซ ๋ฒˆ์งธ ์นฉ์€ ์ €์†ํŒŒ ์ „์†ก์„ ๋กœ๋ฅผ ๊ธฐ๋ฐ˜์œผ๋กœ ํ•œ 3-ํƒญ FFE๋ฅผ ์‚ฌ์šฉํ•˜๋Š” ์ „๋ ฅ ๋ฐ ๋ฉด์  ํšจ์œจ์ ์ธ ํŽ„์Šค-์ง„ํญ-๋ณ€์กฐ 4(PAM-4) ์†ก์‹ ๊ธฐ๋ฅผ ์ œ์‹œํ•œ๋‹ค. ๋†’์€ ํด๋Ÿญ ์ „๋ ฅ ์†Œ๋น„๋ฅผ ๊ทน๋ณตํ•˜๊ธฐ ์œ„ํ•ด ์ดํ€„๋ผ์ด์ € ํƒญ ์ƒ์„ฑ์„ ์œ„ํ•ด ์ˆ˜๋™์†Œ์ž ์ง€์—ฐ ๋ผ์ธ์„ ์ฑ„ํƒํ–ˆ๋‹ค. ์ „์†ก ๋ผ์ธ์€ ์ฐจ๋™ ๋™์ผํ‰๋ฉด๋„ํŒŒ๊ด€ ์ฃผ์œ„์— ์ด์ค‘ ํ”Œ๋กœํŒ… ๊ธˆ์† ์ฐจํ๋ฅผ ์‚ฌ์šฉํ•˜์—ฌ 15์˜ ๋†’์€ ์ „๋‹ฌ์†๋„ ๊ฐ์‡ ๋ฅผ ๋‹ฌ์„ฑํ•œ๋‹ค. ์†ก์‹ ๊ธฐ์—๋Š” 4:1 ๋ฉ€ํ‹ฐํ”Œ๋ ‰์„œ(MUX)์™€ 4-์œ„์ƒ ํด๋Ÿญ ์ƒ์„ฑ๊ธฐ๊ฐ€ ํฌํ•จ๋˜์–ด ์žˆ๋‹ค. 4:1 MUX๋Š” 2-UI ํŽ„์Šค ๋ฐœ์ƒ๊ธฐ๋ฅผ ์‚ฌ์šฉํ•˜๋ฉฐ, ์ •์„ฑ ๋ถ„์„์— ์˜ํ•ด ์ž…๋ ฅ ๊ตฌ์„ฑ์ด ๊ฒฐ์ •๋œ๋‹ค. ์ด ์นฉ์€ 65 nm CMOS ๊ธฐ์ˆ ๋กœ ์ œ์ž‘๋˜์—ˆ์œผ๋ฉฐ 0.151 mm2์˜ ๋ฉด์ ์„ ์ฐจ์ง€ํ•œ๋‹ค. ์ œ์•ˆ๋œ ์†ก์‹ ๊ธฐ ์‹œ์Šคํ…œ์€ PAM-4 ์‹ ํ˜ธ์™€ ํ•จ๊ป˜ 48 Gb/s์˜ ๋ฐ์ดํ„ฐ ์†๋„์—์„œ 3.03 pJ/b์˜ ์—๋„ˆ์ง€ ํšจ์œจ์„ ๋ณด์—ฌ์ค€๋‹ค. ๋‘ ๋ฒˆ์งธ ์นฉ์—์„œ๋Š” 3-ํƒญ FFE ๋ฐ ์—ญํšŒ์ „ T-์ฝ”์ผ์„ ์‚ฌ์šฉํ•˜๋Š” ์ „๋ ฅ ํšจ์œจ์ ์ธ PAM-4 VCSEL ์†ก์‹ ๊ธฐ๋ฅผ ์ œ์‹œํ•œ๋‹ค. ์œ„์ƒ ๋ณด๊ฐ„๊ธฐ(PI)๋Š” ๋ถ€๋ถ„ ๊ฐ„๊ฒฉ FFE ํƒญ์„ ์ƒ์„ฑํ•˜๊ณ  4-์œ„์ƒ ํด๋Ÿญ ์˜ค๋ฅ˜๋ฅผ ์ˆ˜์ •ํ•˜๋Š” ๋ฐ ์‚ฌ์šฉ๋œ๋‹ค. ์ง๋ ฌํ™” ์ „๋ ฅ ์†Œ๋น„๋ฅผ ์ค„์ด๊ธฐ ์œ„ํ•ด ์ถœ๋ ฅ ๋“œ๋ผ์ด๋ฒ„์—์„œ MSB์™€ LSB๋ฅผ ๋‘ ๊ฐœ์˜ 4:1 MUX๋ฅผ ํ†ตํ•ด ๊ฒฐํ•ฉํ•˜๋Š” ๋Œ€์‹  8:1 MUX๋ฅผ ํ†ตํ•ด PAM-4๋กœ ๊ฒฐํ•ฉํ•˜๋Š” ํšŒ๋กœ๊ฐ€ ์ œ์•ˆ๋œ๋‹ค. ๋‚ด๋ถ€ ๋ฐ ์ถœ๋ ฅ ๋…ธ๋“œ์—์„œ T-์ฝ”์ผ์€ ๋Œ€์—ญํญ์„ ์ฆ๊ฐ€์‹œํ‚ค๊ณ  ๊ธฐํ˜ธ ๊ฐ„ ๊ฐ„์„ญ(ISI)์„ ์ œ๊ฑฐํ•œ๋‹ค. ์ถœ๋ ฅ ๋„คํŠธ์›Œํฌ์—์„œ ์—ญํšŒ์ „ T-์ฝ”์ผ์€ T-์ฝ”์ผ์ด ์—†๋Š” ๊ฒฝ์šฐ๋ณด๋‹ค ๋Œ€์—ญํญ์„ 1.61๋ฐฐ ์ฆ๊ฐ€์‹œํ‚จ๋‹ค. VCSEL ๋“œ๋ผ์ด๋ฒ„๋Š” ์–‘๊ทน ๊ตฌ๋™ ๋ฐ ์ „๋ ฅ ๊ฐ์†Œ๋ฅผ ์œ„ํ•ด ๋†’์€ VSS ๋„๋ฉ”์ธ์— ๋ฐฐ์น˜๋œ๋‹ค. ์ด ์นฉ์€ 40 nm CMOS ๊ธฐ์ˆ ๋กœ ์ œ์ž‘๋˜์—ˆ๋‹ค. ์ œ์•ˆ๋œ VCSEL ์†ก์‹ ๊ธฐ๋Š” ๊ฐ๊ฐ 3.03pJ/b์™€ 2.09pJ/b์˜ ์ „๋ ฅ ํšจ์œจ๋กœ ์ตœ๋Œ€ 48Gb/s NRZ์™€ 64Gb/s PAM-4๊นŒ์ง€ ์ž‘๋™ํ•œ๋‹ค.ABSTRACT I CONTENTS III LIST OF FIGURES V LIST OF TABLES IX CHAPTER 1 INTRODUCTION 1 1.1 MOTIVATION 1 1.2 THESIS ORGANIZATION 5 CHAPTER 2 BACKGROUND OF HIGH-SPEED INTERFACE 6 2.1 OVERVIEW 6 2.2 BASIS OF DATA CENTER ARCHITECTURE 9 2.3 SHORT-REACH INTERFACE STANDARDS 12 2.4 ANALYSES OF BANDWIDTH EXTENSION TECHNIQUES 16 2.4.1 FRACTIONALLY-SPACED FFE 16 2.4.2 TRANSMISSION LINE 21 2.4.3 INDUCTOR 24 2.4.4 T-COIL 33 CHAPTER 3 DESIGN OF 48 GB/S PAM-4 ELECTRICAL TRANSMITTER IN 65 NM CMOS 43 3.1 OVERVIEW 43 3.2 FFE BASED ON DOUBLE-SHIELDED COPLANAR WAVEGUIDE 46 3.2.1 BASIC CONCEPT 46 3.2.2 PROPOSED DOUBLE-SHIELDED COPLANAR WAVEGUIDE 47 3.3 DESIGN CONSIDERATION ON 4:1 MUX 50 3.4 PROPOSED PAM-4 ELECTRICAL TRANSMITTER 53 3.5 MEASUREMENT 57 CHAPTER 4 DESIGN OF 64 GB/S PAM-4 OPTICAL TRANSMITTER IN 40 NM CMOS 64 4.1 OVERVIEW 64 4.2 DESIGN CONSIDERATION OF OPTICAL TRANSMITTER 66 4.3 PROPOSED PAM-4 VCSEL TRANSMITTER 69 4.4 MEASUREMENT 82 CHAPTER 5 CONCLUSIONS 88 BIBLIOGRAPHY 90 ์ดˆ ๋ก 101๋ฐ•

    High-speed equalization and transmission in electrical interconnections

    Get PDF
    The relentless growth of data traffic and increasing digital signal processing capabilities of integrated circuits (IC) are demanding ever faster chip-to-chip / chip-to-module serial electrical interconnects. As data rates increase, the signal quality after transmission over printed circuit board (PCB) interconnections is severely impaired. Frequency-dependent loss and crosstalk noise lead to a reduced eye opening, a reduced signal-to-noise ratio and an increased inter-symbol interference (ISI). This, in turn, requires the use of improved signal processing or PCB materials, in order to overcome the bandwidth (BW) limitations and to improve signal integrity. By applying an optimal combination of equalizer and receiver electronics together with BW-efficient modulation schemes, the transmission rate over serial electrical interconnections can be pushed further. At the start of this research, most industrial backplane connectors, meeting the IEEE and OIF specifications such as manufactured by e.g. FCI or TE connectivity, had operational capabilities of up to 25 Gb/s. This research was mainly performed under the IWT ShortTrack project. The goal of this research was to increase the transmission speed over electrical backplanes up to 100 Gb/s per channel for next-generation telecom systems and data centers. This requirement greatly surpassed the state-ofthe-art reported in previous publications, considering e.g. 25 Gb/s duobinary and 42.8 Gb/s PAM-4 transmission over a low-loss Megtron 6 electrical backplane using off-line processing. The successful implementation of the integrated transmitter (TX) and receiver (RX) (1) , clearly shows the feasibility of single lane interconnections beyond 80 Gb/s and opens the potential of realizing industrial 100 Gb/s links using a recent IC technology process. Besides the advancement of the state-of-the-art in the field of high-speed transceivers and backplane transmission systems, which led to several academic publications, the output of this work also attracts a lot of attention from the industry, showing the potential to commercialize the developed chipset and technologies used in this research for various applications: not only in high-speed electrical transmission links, but also in high-speed opto-electronic communications such as access, active optical cables and optical backplanes. In this dissertation, the background of this research, an overview of this work and the thesis organization are illustrated in Chapter 1. In Chapter 2, a system level analysis is presented, showing that the channel losses are limiting the transmission speed over backplanes. In order to enhance the serial data rate over backplanes and to eliminate the signal degradation, several technologies are discussed, such as signal equalization and modulation techniques. First, a prototype backplane channel, from project partner FCI, implemented with improved backplane connectors is characterized. Second, an integrated transversal filter as a feed-forward equalizer (FFE) is selected to perform the signal equalization, based on a comprehensive consideration of the backplane channel performance, equalization capabilities, implementation complexity and overall power consumption. NRZ, duobinary and PAM-4 are the three most common modulation schemes for ultra-high speed electrical backplane communication. After a system-level simulation and comparison, the duobinary format is selected due to its high BW efficiency and reasonable circuit complexity. Last, different IC technology processes are compared and the ST microelectronics BiCMOS9MW process (featuring a fT value of over 200 GHz) is selected, based on a trade-off between speed and chip cost. Meanwhile it also has a benefit for providing an integrated microstrip model, which is utilized for the delay elements of the FFE. Chapter 3 illustrates the chip design of the high-speed backplane TX, consisting of a multiplexer (MUX) and a 5-tap FFE. The 4:1 MUX combines four lower rate streams into a high-speed differential NRZ signal up to 100 Gb/s as the FFE input. The 5-tap FFE is implemented with a novel topology for improved testability, such that the FFE performance can be individually characterized, in both frequency- and time-domain, which also helps to perform the coefficient optimization of the FFE. Different configurations for the gain cell in the FFE are compared. The gilbert configuration shows most advantages, in both a good high-frequency performance and an easy way to implement positive / negative amplification. The total chip, including the MUX and the FFE, consumes 750mW from a 2.5V supply and occupies an area of 4.4mm ร— 1.4 mm. In Chapter 4, the TX chip is demonstrated up to 84 Gb/s. First, the FFE performance is characterized in the frequency domain, showing that the FFE is able to work up to 84 Gb/s using duobinary formats. Second, the combination of the MUX and the FFE is tested. The equalized TX outputs are captured after different channels, for both NRZ and duobinary signaling at speeds from 64 Gb/s to 84 Gb/s. Then, by applying the duobinary RX 2, a serial electrical transmission link is demonstrated across a pair of 10 cm coax cables and across a 5 cm FX-2 differential stripline. The 5-tap FFE compensates a total loss between the TX and the RX chips of about 13.5 dB at the Nyquist frequency, while the RX receives the equalized signal and decodes the duobinary signal to 4 quarter rate NRZ streams. This shows a chip-to-chip data link with a bit error rate (BER) lower than 10โˆ’11. Last, the electrical data transmission between the TX and the RX over two commercial backplanes is demonstrated. An error-free, serial duobinary transmission across a commercial Megtron 6, 11.5 inch backplane is demonstrated at 48 Gb/s, which indicates that duobinary outperforms NRZ for attaining higher speed or longer reach backplane applications. Later on, using an ExaMAXยฎ backplane demonstrator, duobinary transmission performance is verified and the maximum allowed channel loss at 40 Gb/s transmission is explored. The eye diagram and BER measurements over a backplane channel up to 26.25 inch are performed. The results show that at 40 Gb/s, a total channel loss up to 37 dB at the Nyquist frequency allows for error-free duobinary transmission, while a total channel loss of 42 dB was overcome with a BER below 10โˆ’8. An overview of the conclusions is summarized in Chapter 5, along with some suggestions for further research in this field. (1) The duobinary receiver was developed by my colleague Timothy De Keulenaer, as described in his PhD dissertation. (2) Described in the PhD dissertation of Timothy De Keulenaer

    Modeling and Design of Architectures for High-Speed ADC-Based Serial Links

    Get PDF
    There is an ongoing dramatic rise in the volume of internet traffic. Standards such as 56Gb/s OIF very short reach (VSR), medium reach (MR) and long reach (LR) standards for chip to chip communication over channels with up to 10dB, 20dB and 30dB insertion loss at the PAM 4 Nyquist frequency, respectively, are being adopted. These standards call for the spectrally efficient PAM-4 signaling over NRZ signaling. PAM-4 signaling offers challenges such as a reduced SNR at the receiver, susceptibility to nonlinearities and increased sensitivity to residual ISI. Equalization provided by traditional mixed signal architectures can be insufficient to achieve the target BER requirements for very long reach channels. ADC-based receiver architectures for PAM-4 links take advantage of the more powerful equalization techniques, which lend themselves to easier and robust digital implementations, to extend the amount of insertion loss that the receiver can handle. However, ADC-based receivers can consume more power compared to mixed-signal implementations. Techniques that model the receiver performance to understand the various system trade-offs are necessary. This research presents a fast and accurate hybrid modeling framework to efficiently investigate system trade-offs for an ADC-based receiver. The key contribution being the addition of ADC related non-idealities such as quantization noise in the presence of integral and differential nonlinearities, and time-interleaving mismatch errors such as gain mismatch, bandwidth mismatch, offset mismatch and sampling skew. The research also presents a 52Gb/s ADC-based PAM-4 receiver prototype employing a 32-way time-interleaved, 2-bit/stage, 6-bit SAR ADC and a DSP with a 12-tap FFE and a 2-tap DFE. A new DFE architecture that reduces the complexity of a PAM-4 DFE to that of an NRZ DFE while simultaneously nearly doubling the maximum achievable data rate is presented. The receiver architecture also includes an analog front-end (AFE) consisting of a programmable two stage CTLE. A digital baud-rate CDRโ€™s utilizing a Mueller-Muller phase detector sets the sampling phase. Measurement results show that for 32Gb/s operation a BER < 10โปโน is achieved for a 30dB loss channel while for 52 Gb/s operation achieves a BER < 10โปโถ for a 31dB loss channel with a power efficiency of 8.06pj/bit
    corecore