4,772 research outputs found

    A novel scan segmentation design method for avoiding shift timing failure in scan testing

    Get PDF
    ITC : 2011 IEEE International Test Conference , 20-22 Sep. 2011 , Anaheim, CA, USAHigh power consumption in scan testing can cause undue yield loss which has increasingly become a serious problem for deep-submicron VLSI circuits. Growing evidence attributes this problem to shift timing failures, which are primarily caused by excessive switching activity in the proximities of clock paths that tends to introduce severe clock skew due to IR-drop-induced delay increase. This paper is the first of its kind to address this critical issue with a novel layout-aware scheme based on scan segmentation design, called LCTI-SS (Low-Clock-Tree-Impact Scan Segmentation). An optimal combination of scan segments is identified for simultaneous clocking so that the switching activity in the proximities of clock trees is reduced while maintaining the average power reduction effect on conventional scan segmentation. Experimental results on benchmark and industrial circuits have demonstrated the advantage of the LCTI-SS scheme

    A Novel Scan Segmentation Design Method for Avoiding Shift Timing Failure in Scan Testing

    Get PDF
    High power consumption in scan testing can cause undue yield loss which has increasingly become a serious problem for deep-submicron VLSI circuits. Growing evidence attributes this problem to shift timing failures, which are primarily caused by excessive switching activity in the proximities of clock paths that tends to introduce severe clock skew due to IR-drop-induced delay increase. This paper is the first of its kind to address this critical issue with a novel layout-aware scheme based on scan segmentation design, called LCTI-SS (Low-Clock-Tree-Impact Scan Segmentation). An optimal combination of scan segments is identified for simultaneous clocking so that the switching activity in the proximities of clock trees is reduced while maintaining the average power reduction effect on conventional scan segmentation. Experimental results on benchmark and industrial circuits have demonstrated the advantage of the LCTI-SS scheme.2011 IEEE International Test Conference, 20-22 September 2011, Anaheim, CA, US

    A survey of scan-capture power reduction techniques

    Get PDF
    With the advent of sub-nanometer geometries, integrated circuits (ICs) are required to be checked for newer defects. While scan-based architectures help detect these defects using newer fault models, test data inflation happens, increasing test time and test cost. An automatic test pattern generator (ATPG) exercise’s multiple fault sites simultaneously to reduce test data which causes elevated switching activity during the capture cycle. The switching activity results in an IR drop exceeding the devices under test (DUT) specification. An increase in IR-drop leads to failure of the patterns and may cause good DUTs to fail the test. The problem is severe during at-speed scan testing, which uses a functional rated clock with a high frequency for the capture operation. Researchers have proposed several techniques to reduce capture power. They used various methods, including the reduction of switching activity. This paper reviews the recently proposed techniques. The principle, algorithm, and architecture used in them are discussed, along with key advantages and limitations. In addition, it provides a classification of the techniques based on the method used and its application. The goal is to present a survey of the techniques and prepare a platform for future development in capture power reduction during scan testing

    A fast and accurate per-cell dynamic IR-drop estimation method for at-speed scan test pattern validation

    Get PDF
    ITC : 2012 IEEE International Test Conference , 5-8 Nov. 2012 , Anaheim, CA, USAIn return for increased operating frequency and reduced supply voltage in nano-scale designs, their vulnerability to IR-drop-induced yield loss grew increasingly apparent. Therefore, it is necessary to consider delay increase effect due to IR-drop during at-speed scan testing. However, it consumes significant amounts of time for precise IR-drop analysis. This paper addresses this issue with a novel per-cell dynamic IR-drop estimation method. Instead of performing time-consuming IR-drop analysis for each pattern one by one, the proposed method uses global cycle average power profile for each pattern and dynamic IR-drop profiles for a few representative patterns, thus total computation time is effectively reduced. Experimental results on benchmark circuits demonstrate that the proposed method achieves both high accuracy and high time-efficiency

    On Guaranteeing Capture Safety in At-Speed Scan Testing with Broadcast-Scan-Based Test Compression

    Get PDF
    Capture safety has become a major concern in at-speed scan testing since strong power supply noise caused by excessive launch switching activity (LSA) at transition launching in an at-speed test cycle often results in severe timing-failure-induced yield loss. Recently, a basic RM (rescue-&-mask) test generation scheme was proposed for guaranteeing capture safety rather than merely reducing LSA to some extent. This paper extends the basic RM scheme to broadcast-scan-based test compression by uniquely solving two test-compression-induced problems, namely (1) input X-bit insufficiency (i.e., fewer input X-bits are available for LSA reduction due to test compression) and (2) output X-bit impact (i.e., output X-bits may reduce fault coverage due to test response compaction). This leads to the broadcast-RM (broadcast-scan-based rescue-&-mask) test generation scheme. Evaluations on large benchmark circuits and an industrial circuit of about 1M gates clearly demonstrate that this novel scheme can indeed guarantee capture safety in at-speed scan testing with broadcast-scan-based test compression while minimizing its impact on both test quality and test costs.2013 26th International Conference on VLSI Design, 5-10 January 2013, Pune, Indi

    A GA-Based Method for High-Quality X-Filling to Reduce Launch Switching Activity in At-speed Scan Testing

    Get PDF
    Power-aware X-filling is a preferable approach to avoiding IR-drop-induced yield loss in at-speed scan testing. However, the quality of previous X-filling methods for reducing launch switching activity may be unsatisfactory, due to low effect (insufficient and global-only reduction) and/or low scalability (long CPU time). This paper addresses this quality problem with a novel, GA (Genetic Algorithm) based X-filling method, called GA-fill. Its goals are (1) to achieve both effectiveness and scalability in a more balanced manner, and (2) to make the reduction effect of launch switching activity more concentrated on critical areas that have higher impact on IR-drop-induced yield loss. Evaluation experiments are being conducted on benchmark and industrial circuits, and initial results have demonstrated the usefulness of GA-fill.2009 15th IEEE Pacific Rim International Symposium on Dependable Computing, 16-18 November 2009, Shanghai, Chin

    CT Scanning

    Get PDF
    Since its introduction in 1972, X-ray computed tomography (CT) has evolved into an essential diagnostic imaging tool for a continually increasing variety of clinical applications. The goal of this book was not simply to summarize currently available CT imaging techniques but also to provide clinical perspectives, advances in hybrid technologies, new applications other than medicine and an outlook on future developments. Major experts in this growing field contributed to this book, which is geared to radiologists, orthopedic surgeons, engineers, and clinical and basic researchers. We believe that CT scanning is an effective and essential tools in treatment planning, basic understanding of physiology, and and tackling the ever-increasing challenge of diagnosis in our society

    Automated deep phenotyping of the cardiovascular system using magnetic resonance imaging

    Get PDF
    Across a lifetime, the cardiovascular system must adapt to a great range of demands from the body. The individual changes in the cardiovascular system that occur in response to loading conditions are influenced by genetic susceptibility, and the pattern and extent of these changes have prognostic value. Brachial blood pressure (BP) and left ventricular ejection fraction (LVEF) are important biomarkers that capture this response, and their measurements are made at high resolution. Relatively, clinical analysis is crude, and may result in lost information and the introduction of noise. Digital information storage enables efficient extraction of information from a dataset, and this strategy may provide more precise and deeper measures to breakdown current phenotypes into their component parts. The aim of this thesis was to develop automated analysis of cardiovascular magnetic resonance (CMR) imaging for more detailed phenotyping, and apply these techniques for new biological insights into the cardiovascular response to different loading conditions. I therefore tested the feasibility and clinical utility of computational approaches for image and waveform analysis, recruiting and acquiring additional patient cohorts where necessary, and then applied these approaches prospectively to participants before and after six-months of exercise training for a first-time marathon. First, a multi-centre, multi-vendor, multi-field strength, multi-disease CMR resource of 110 patients undergoing repeat imaging in a short time-frame was assembled. The resource was used to assess whether automated analysis of LV structure and function is feasible on real-world data, and if it can improve upon human precision. This showed that clinicians can be confident in detecting a 9% change in EF or a 20g change in LV mass. This will be difficult to improve by clinicians because the greatest source of human error was attributable to the observer rather than modifiable factors. Having understood these errors, a convolutional neural network was trained on separate multi-centre data for automated analysis and was successfully generalizable to the real-world CMR data. Precision was similar to human analysis, and performance was 186 times faster. This real-world benchmarking resource has been made freely available (thevolumesresource.com). Precise automated segmentations were then used as a platform to delve further into the LV phenotype. Global LVEFs measured from CMR imaging in 116 patients with severe aortic stenosis were broken down into ~10 million regional measurements of structure and function, represented by computational three-dimensional LV models for each individual. A cardiac atlas approach was used to compile, label, segment and represent these data. Models were compared with healthy matched controls, and co-registered with follow-up one year after aortic valve replacement (AVR). This showed that there is a tendency to asymmetric septal hypertrophy in all patients with severe aortic stenosis (AS), rather than a characteristic specific to predisposed patients. This response to AS was more unfavourable in males than females (associated with higher NT-proBNP, and lower blood pressure), but was more modifiable with AVR. This was not detected using conventional analysis. Because cardiac function is coupled with the vasculature, a novel integrated assessment of the cardiovascular system was developed. Wave intensity theory was used to combine central blood pressure and CMR aortic blood flow-velocity waveforms to represent the interaction of the heart with the vessels in terms of traveling energy waves. This was performed and then validated in 206 individuals (the largest cohort to date), demonstrating inefficient ventriculo-arterial coupling in female sex and healthy ageing. CMR imaging was performed in 236 individuals before training for a first-time marathon and 138 individuals were followed-up after marathon completion. After training, systolic/diastolic blood pressure reduced by 4/3mmHg, descending aortic stiffness decreased by 16%, and ventriculo-arterial coupling improved by 14%. LV mass increased slightly, with a tendency to more symmetrical hypertrophy. The reduction in aortic stiffness was equivalent to a 4-year reduction in estimated biological aortic age, and the benefit was greater in older, male, and slower individuals. In conclusion, this thesis demonstrates that automating analysis of clinical cardiovascular phenotypes is precise with significant time-saving. Complex data that is usually discarded can be used efficiently to identify new biology. Deeper phenotypes developed in this work inform risk reduction behaviour in healthy individuals, and demonstrably deliver a more sensitive marker of LV remodelling, potentially enhancing risk prediction in severe aortic stenosis

    Accelerating cardiovascular MRI

    Get PDF

    Hydrogen Fuel Cell Gasket Handling and Sorting With Machine Vision Integrated Dual Arm Robot

    Get PDF
    Recently demonstrated robotic assembling technologies for fuel cell stacks used fuel cell components manually pre-arranged in stacks (presenters), all oriented in the same position. Identifying the original orientation of fuel cell components and loading them in stacks for a subsequent automated assembly process is a difficult, repetitive work cycle which if done manually, deceives the advantages offered by automated fabrication technologies of fuel cell components and by robotic assembly processes. We present an innovative robotic technology which enables the integration of automated fabrication processes of fuel cell components with robotic assembly of fuel cell stacks into a fully automated fuel cell manufacturing line. This task, which has not been addressed in the past uses a Yaskawa Motoman SDA5F dual arm robot with integrated machine vision system. The process is used to identify and grasp randomly placed, slightly asymmetric fuel cell components having a total alpha-plus-beta symmetry angle of 720o, to reorient them all in the same position and stack them in presenters for a subsequent robotic assembly process. The dual arm robot technology is selected for increased productivity and ease of gasket handling during reorientation. The initial position and orientation of the gaskets is identified by image analysis using a Cognex machine vision system with fixed camera. The process was demonstrated as part of a larger endeavor of bringing to readiness advanced manufacturing technologies for alternative energy systems, and responds the high priority needs identified by the U.S. Department of Energy for fuel cells manufacturing research and development
    • …
    corecore