266 research outputs found

    A 90 nm CMOS 16 Gb/s Transceiver for Optical Interconnects

    Get PDF
    Interconnect architectures which leverage high-bandwidth optical channels offer a promising solution to address the increasing chip-to-chip I/O bandwidth demands. This paper describes a dense, high-speed, and low-power CMOS optical interconnect transceiver architecture. Vertical-cavity surface-emitting laser (VCSEL) data rate is extended for a given average current and corresponding reliability level with a four-tap current summing FIR transmitter. A low-voltage integrating and double-sampling optical receiver front-end provides adequate sensitivity in a power efficient manner by avoiding linear high-gain elements common in conventional transimpedance-amplifier (TIA) receivers. Clock recovery is performed with a dual-loop architecture which employs baud-rate phase detection and feedback interpolation to achieve reduced power consumption, while high-precision phase spacing is ensured at both the transmitter and receiver through adjustable delay clock buffers. A prototype chip fabricated in 1 V 90 nm CMOS achieves 16 Gb/s operation while consuming 129 mW and occupying 0.105 mm^2

    A 6.0-mW 10.0-Gb/s Receiver With Switched-Capacitor Summation DFE

    Get PDF
    A low-power receiver with a one-tap decision feedback equalization (DFE) was fabricated in 90-nm CMOS technology. The speculative equalization is performed using switched-capacitor-based addition at the front-end sample-hold circuit. In order to further reduce the power consumption, an analog multiplexer is used in the speculation technique implementation. A quarter-rate-clocking scheme facilitates the use of low-power front-end circuitry and CMOS clock buffers. The receiver was tested over channels with different levels of ISI. The signaling rate with BER<10^-12 was significantly increased with the use of DFE for short- to medium-distance PCB traces. At 10-Gb/s data rate, the receiver consumes less than 6.0 mW from a 1.0-V supply. This includes the power consumed in all quarter-rate clock buffers, but not the power of a clock recovery loop. The input clock phase and the DFE taps are adjusted externally

    Power Optimized Transceivers for Future Switched Networks

    Get PDF
    Network equipment power consumption is under increased scrutiny. To understand and decompose transceiver power consumption, we have created a toolkit incorporating a library of transceiver circuits in 45-nm CMOS and MOS current mode logic (MCML) and characterize power consumption using representative network traffic traces with digital synthesis and SPICE tools. Our toolkit includes all the components required to construct a library of different transceivers: line coding, frame alignment, channel bonding, serialization and deserialization, clockโ€“data recovery, and clock generation. For optical transceivers, we show that photonic components and front end drivers only consume a small fraction (<22%) of total serial transceiver power. This implies that major reductions in optical transceiver power can only be obtained by paying attention to the physical layer circuits such as clock recovery and serialโ€“parallel conversions. We propose a burst-mode physical layer protocol suitable for optically switched links that retains the beneficial transmission characteristics of 8b/10b, but, even without power gating and voltage controlled oscillator power optimization, reduces the power consumption during idle periods by 29% compared with a conventional 8b/10b transceiver. We have made the toolkit available to the community at large in the hope of stimulating work in this field

    A 1.8-pJ/b, 12.5-25-Gb/s wide range all-digital clock and data recovery circuit

    Get PDF
    Recently, there has been a strong drive to replace established analog circuits for multi-gigabit clock and data recovery (CDR) by more digital solutions. We focused on phase locked loop-based all-digital CDR (AD-CDR) techniques which contain a digital loop filter (DLF) and a digital controlled oscillator (DCO) and pushed the digital integration up to a level where our DLF is entirely synthesized. To enable this, we found that extensive subsampling can be used to decrease the speed of the DLF while maintaining a good operation. Additionally, an Inverse Alexander phase detector and a 5.5-bit resolution DCO complete the AD-CDR architecture. As a result of the low complexity and digital architecture, the AD-CDR occupies a compact active chip area of 0.050 mm(2) and consumes only 46 mW at 25 Gb/s. This is the smallest area and the lowest power consumption compared with the state-of-the-art. In addition, our implementation is highly tunable due to the synthesized logic, and supports a wide operating range (12.5-25 Gb/s), which is a significantly larger range compared with the previous work. Finally, thanks to our digital architecture, the power dissipation decreases linearly while moving to the lower speeds of our operating range. This is in contrast with the most prior work, making our design truly adaptive

    Energy Implications of Photonic Networks With Speculative Transmission

    Get PDF
    Speculative transmission has been proposed to overcome the high latency of setting up end-to-end paths through photonic networks for computer systems. However, speculative transmission has implications for the energy efficiency of the network, in particular, control circuits are more complex and power hungry and failed speculative transmissions must be repeated. Moreover, in future chip multiprocessors (CMPs) with integrated photonic network end points, a large proportion of the additional energy will be dissipated on the CMP. This paper compares the energy characteristics of scheduled and speculative chip-to-chip networks for shared memory computer systems on the scale of a rack. For this comparison, we use a novel speculative control plane which reduces energy consumption by eliminating duplicate packets from the allocation process. In addition, we consider photonic power gating to reduce processor chip energy dissipation and the energy impact of the choice between semiconductor optical amplifier and ring resonator switching technologies. We model photonic network elements using values from the published literature as well as determine the power consumption of the allocator and network adapter circuits, implemented in a commercial low leakage 45 nm CMOS process. The power dissipated on the CMP using speculative networks is shown to be roughly double that of scheduled networks at saturation load and an order of magnitude higher at low loads

    Toward realizing power scalable and energy proportional high-speed wireline links

    Get PDF
    Growing computational demand and proliferation of cloud computing has placed high-speed serial links at the center stage. Due to saturating energy efficiency improvements over the last five years, increasing the data throughput comes at the cost of power consumption. Conventionally, serial link power can be reduced by optimizing individual building blocks such as output drivers, receiver, or clock generation and distribution. However, this approach yields very limited efficiency improvement. This dissertation takes an alternative approach toward reducing the serial link power. Instead of optimizing the power of individual building blocks, power of the entire serial link is reduced by exploiting serial link usage by the applications. It has been demonstrated that serial links in servers are underutilized. On average, they are used only 15% of the time, i.e. these links are idle for approximately 85% of the time. Conventional links consume power during idle periods to maintain synchronization between the transmitter and the receiver. However, by powering-off the link when idle and powering it back when needed, power consumption of the serial link can be scaled proportionally to its utilization. This approach of rapid power state transitioning is known as the rapid-on/off approach. For the rapid-on/off to be effective, ideally the power-on time, off-state power, and power state transition energy must all be close to zero. However, in practice, it is very difficult to achieve these ideal conditions. Work presented in this dissertation addresses these challenges. When this research work was started (2011-12), there were only a couple of research papers available in the area of rapid-on/off links. Systematic study or design of a rapid power state transitioning in serial links was not available in the literature. Since rapid-on/off with nanoseconds granularity is not a standard in any wireline communication, even the popular test equipment does not support testing any such feature, neither any formal measurement methodology was available. All these circumstances made the beginning difficult. However, these challenges provided a unique opportunity to explore new architectural techniques and identify trade-offs. The key contributions of this dissertation are as follows. The first and foremost contribution is understanding the underlying limitations of saturating energy efficiency improvements in serial links and why there is a compelling need to find alternative ways to reduce the serial link power. The second contribution is to identify potential power saving techniques and evaluate the challenges they pose and the opportunities they present. The third contribution is the design of a 5Gb/s transmitter with a rapid-on/off feature. The transmitter achieves rapid-on/off capability in voltage mode output driver by using a fast-digital regulator, and in the clock multiplier by accurate frequency pre-setting and periodic reference insertion. To ease timing requirements, an improved edge replacement logic circuit for the clock multiplier is proposed. Mathematical modeling of power-on time as a function of various circuit parameters is also discussed. The proposed transmitter demonstrates energy proportional operation over wide variations of link utilization, and is, therefore, suitable for energy efficient links. Fabricated in 90nm CMOS technology, the voltage mode driver, and the clock multiplier achieve power-on-time of only 2ns and 10ns, respectively. This dissertation highlights key trade-off in the clock multiplier architecture, to achieve fast power-on-lock capability at the cost of jitter performance. The fourth contribution is the design of a 7GHz rapid-on/off LC-PLL based clock multi- plier. The phase locked loop (PLL) based multiplier was developed to overcome the limita- tions of the MDLL based approach. Proposed temperature compensated LC-PLL achieves power-on-lock in 1ns. The fifth and biggest contribution of this dissertation is the design of a 7Gb/s embedded clock transceiver, which achieves rapid-on/off capability in LC-PLL, current-mode transmit- ter and receiver. It was the first reported design of a complete transceiver, with an embedded clock architecture, having rapid-on/off capability. Background phase calibration technique in PLL and CDR phase calibration logic in the receiver enable instantaneous lock on power-on. The proposed transceiver demonstrates power scalability with a wide range of link utiliza- tion and, therefore, helps in improving overall system efficiency. Fabricated in 65nm CMOS technology, the 7Gb/s transceiver achieves power-on-lock in less than 20ns. The transceiver achieves power scaling by 44x (63.7mW-to-1.43mW) and energy efficiency degradation by only 2.2x (9.1pJ/bit-to-20.5pJ/bit), when the effective data rate (link utilization) changes by 100x (7Gb/s-to-70Mb/s). The sixth and final contribution is the design of a temperature sensor to compensate the frequency drifts due to temperature variations, during long power-off periods, in the fast power-on-lock LC-PLL. The proposed self-referenced VCO-based temperature sensor is designed with all digital logic gates and achieves low supply sensitivity. This sensor is suitable for integration in processor and DRAM environments. The proposed sensor works on the principle of directly converting temperature information to frequency and finally to digital bits. A novel sensing technique is proposed in which temperature information is acquired by creating a threshold voltage difference between the transistors used in the oscillators. Reduced supply sensitivity is achieved by employing junction capacitance, and the overhead of voltage regulators and an external ideal reference frequency is avoided. The effect of VCO phase noise on the sensor resolution is mathematically evaluated. Fabricated in the 65nm CMOS process, the prototype can operate with a supply ranging from 0.85V to 1.1V, and it achieves a supply sensitivity of 0.034oC/mV and an inaccuracy of ยฑ0.9oC and ยฑ2.3oC from 0-100oC after 2-point calibration, with and without static nonlinearity correction, respectively. It achieves a resolution of 0.3oC, resolution FoM of 0.3(nJ/conv)res2 , and measurement (conversion) time of 6.5ฮผs

    ์ฐจ์„ธ๋Œ€ ์ž๋™์ฐจ์šฉ ์นด๋ฉ”๋ผ ๋ฐ์ดํ„ฐ ํ†ต์‹ ์„ ์œ„ํ•œ ๋น„๋Œ€์นญ ๋™์‹œ ์–‘๋ฐฉํ–ฅ ์†ก์ˆ˜์‹ ๊ธฐ์˜ ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2022.2. ์ •๋•๊ท .๋ณธ ํ•™์œ„ ๋…ผ๋ฌธ์—์„œ๋Š” ์ฐจ์„ธ๋Œ€ ์ž๋™์ฐจ์šฉ ์นด๋ฉ”๋ผ ๋งํฌ๋ฅผ ์œ„ํ•ด ๋†’์€ ์†๋„์˜ 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ์™€ ๋‚ฎ์€ ์†๋„์˜ 2๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ๋ฅผ ํ†ต์‹ ํ•˜๋Š” ๋น„๋Œ€์นญ ๋™์‹œ ์–‘๋ฐฉํ–ฅ ์†ก์ˆ˜์‹ ๊ธฐ์˜ ์„ค๊ณ„ ๊ธฐ์ˆ ์— ๋Œ€ํ•ด ์ œ์•ˆํ•˜๊ณ  ๊ฒ€์ฆ๋˜์—ˆ๋‹ค. ์ฒซ๋ฒˆ์งธ ํ”„๋กœํ† ํƒ€์ž… ์„ค๊ณ„์—์„œ๋Š”, 10B6Q ์ง๋ฅ˜ ๋ฐธ๋Ÿฐ์Šค ์ฝ”๋“œ๋ฅผ ํƒ‘์žฌํ•œ 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์†ก์‹ ๊ธฐ์™€ ๊ณ ์ •๋œ ๋ฐ์ดํ„ฐ์™€ ์ฐธ์กฐ ๋ ˆ๋ฒจ์„ ๊ฐ€์ง€๋Š” 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์ ์‘ํ˜• ์ˆ˜์‹ ๊ธฐ์— ๋Œ€ํ•œ ๋‚ด์šฉ์ด ๊ธฐ์ˆ ๋˜์—ˆ๋‹ค. 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์†ก์‹ ๊ธฐ์—์„œ๋Š” ๊ต๋ฅ˜ ์—ฐ๊ฒฐ ๋งํฌ ์‹œ์Šคํ…œ์— ๋Œ€์‘ํ•˜๊ธฐ ์œ„ํ•œ ๋ฉด์  ๋ฐ ์ „๋ ฅ ํšจ์œจ์„ฑ์ด ์ข‹์€ 10B6Q ์ฝ”๋“œ๊ฐ€ ์ œ์•ˆ๋˜์—ˆ๋‹ค. ์ด ์ฝ”๋“œ๋Š” ์ง๋ฅ˜ ๋ฐธ๋Ÿฐ์Šค๋ฅผ ๋งž์ถ”๊ณ  ์—ฐ์†์ ์œผ๋กœ ๊ฐ™์€ ์‹ฌ๋ณผ์„ ๊ฐ€์ง€๋Š” ๊ธธ์ด๋ฅผ 6๊ฐœ๋กœ ์ œํ•œ ์‹œํ‚จ๋‹ค. ๋น„๋ก ์—ฌ๊ธฐ์„œ๋Š” ์ž…๋ ฅ ๋ฐ์ดํ„ฐ ๊ธธ์ด 10๋น„ํŠธ๋ฅผ ์‚ฌ์šฉํ•˜์˜€์ง€๋งŒ, ์ œ์•ˆ๋œ ๊ธฐ์ˆ ์€ ์นด๋ฉ”๋ผ์˜ ๋‹ค์–‘ํ•œ ๋ฐ์ดํ„ฐ ํƒ€์ž…์— ๋Œ€์‘ํ•  ์ˆ˜ ์žˆ๋„๋ก ์ž…๋ ฅ ๋ฐ์ดํ„ฐ ๊ธธ์ด์— ๋Œ€ํ•œ ํ™•์žฅ์„ฑ์„ ๊ฐ€์ง„๋‹ค. ๋ฐ˜๋ฉด, 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์ ์‘ํ˜• ์ˆ˜์‹ ๊ธฐ์—์„œ๋Š”, ์ƒ˜ํ”Œ๋Ÿฌ์˜ ์˜ต์…‹์„ ์ตœ์ ์œผ๋กœ ์ œ๊ฑฐํ•˜์—ฌ ๋” ๋‚ฎ์€ ๋น„ํŠธ์—๋Ÿฌ์œจ์„ ์–ป๊ธฐ ์œ„ํ•ด์„œ, ๊ธฐ์กด์˜ ๋ฐ์ดํ„ฐ ๋ฐ ์ฐธ์กฐ ๋ ˆ๋ฒจ์„ ์กฐ์ ˆํ•˜๋Š” ๋Œ€์‹ , ์ด ๋ ˆ๋ฒจ๋“ค์€ ๊ณ ์ •์‹œํ‚ค๊ณ  ๊ฐ€๋ณ€ ๊ฒŒ์ธ ์ฆํญ๊ธฐ๋ฅผ ์ ์‘ํ˜•์œผ๋กœ ์กฐ์ ˆํ•˜๋„๋ก ํ•˜์˜€๋‹ค. ์ƒ๊ธฐ 10B6Q ์ฝ”๋“œ ๋ฐ ๊ณ ์ • ๋ฐ์ดํ„ฐ ๋ฐ ์ฐธ์กฐ๋ ˆ๋ฒจ ๊ธฐ์ˆ ์„ ๊ฐ€์ง„ ํ”„๋กœํ† ํƒ€์ž… ์นฉ๋“ค์€ 40 ๋‚˜๋…ธ๋ฏธํ„ฐ ์ƒํ˜ธ๋ณด์™„ํ˜• ๋ฉ”ํƒˆ ์‚ฐํ™” ๋ฐ˜๋„์ฒด ๊ณต์ •์œผ๋กœ ์ œ์ž‘๋˜์—ˆ๊ณ  ์นฉ ์˜จ ๋ณด๋“œ ํ˜•ํƒœ๋กœ ํ‰๊ฐ€๋˜์—ˆ๋‹ค. 10B6Q ์ฝ”๋“œ๋Š” ํ•ฉ์„ฑ ๊ฒŒ์ดํŠธ ์ˆซ์ž๋Š” 645๊ฐœ์™€ ํ•จ๊ป˜ ๋‹จ 0.0009 mm2 ์˜ ๋ฉด์  ๋งŒ์„ ์ฐจ์ง€ํ•œ๋‹ค. ๋˜ํ•œ, 667 MHz ๋™์ž‘ ์ฃผํŒŒ์ˆ˜์—์„œ ๋‹จ 0.23 mW ์˜ ์ „๋ ฅ์„ ์†Œ๋ชจํ•œ๋‹ค. 10B6Q ์ฝ”๋“œ๋ฅผ ํƒ‘์žฌํ•œ ์†ก์‹ ๊ธฐ์—์„œ 8-Gb/s 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ๋ฅผ ๊ณ ์ • ๋ฐ์ดํ„ฐ ๋ฐ ์ฐธ์กฐ ๋ ˆ๋ฒจ์„ ๊ฐ€์ง€๋Š” ์ ์‘ํ˜• ์ˆ˜์‹ ๊ธฐ๋กœ 12-m ์ผ€์ด๋ธ” (22-dB ์ฑ„๋„ ๋กœ์Šค) ์„ ํ†ตํ•ด์„œ ๋ณด๋‚ธ ๊ฒฐ๊ณผ ์ตœ์†Œ ๋น„ํŠธ ์—๋Ÿฌ์œจ 108 ์„ ๋‹ฌ์„ฑํ•˜์˜€๊ณ , ๋น„ํŠธ ์—๋Ÿฌ์œจ 105 ์—์„œ๋Š” ์•„์ด ๋งˆ์ง„์ด 0.15 UI x 50 mV ๋ณด๋‹ค ํฌ๊ฒŒ ์ธก์ •๋˜์—ˆ๋‹ค. ์†ก์ˆ˜์‹ ๊ธฐ๋ฅผ ํ•ฉ์นœ ์ „๋ ฅ ์†Œ๋ชจ๋Š” 65.2 mW (PLL ์ œ์™ธ) ์ด๊ณ , ์„ฑ๊ณผ์˜ ๋Œ€ํ‘œ์ˆ˜์น˜๋Š” 0.37 pJ/b/dB ๋ฅผ ๋ณด์—ฌ์ฃผ์—ˆ๋‹ค. ์ฒซ๋ฒˆ์งธ ํ”„๋กœํ† ํƒ€์ž… ์„ค๊ณ„์„ ํฌํ•จํ•˜์—ฌ ๊ฐœ์„ ๋œ ๋‘๋ฒˆ์งธ ํ”„๋กœํ† ํƒ€์ž… ์„ค๊ณ„์—์„œ๋Š”, 12-Gb/s 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์ •๋ฐฉํ–ฅ ์ฑ„๋„ ์‹ ํ˜ธ์™€ 125-Mb/s 2๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์—ญ๋ฐฉํ–ฅ ์ฑ„๋„ ์‹ ํ˜ธ๋ฅผ ํƒ‘์žฌํ•œ ๋น„๋Œ€์นญ ๋™์‹œ ์–‘๋ฐฉํ–ฅ ์†ก์ˆ˜์‹ ๊ธฐ์— ๋Œ€ํ•ด ๊ธฐ์ˆ ๋˜๊ณ  ๊ฒ€์ฆ๋˜์—ˆ๋‹ค. ์ œ์•ˆ๋œ ๋„“์€ ์„ ํ˜• ๋ฒ”์œ„๋ฅผ ๊ฐ€์ง€๋Š” ํ•˜์ด๋ธŒ๋ฆฌ๋“œ๋Š” gmC ์ €๋Œ€์—ญ ํ†ต๊ณผ ํ•„ํ„ฐ์™€ ์—์ฝ” ์ œ๊ฑฐ๊ธฐ์™€ ํ•จ๊ป˜ ์•„์›ƒ๋ฐ”์šด๋“œ ์‹ ํ˜ธ๋ฅผ 24 dB ์ด์ƒ ํšจ์œจ์ ์œผ๋กœ ๊ฐ์†Œ์‹œ์ผฐ๋‹ค. ๋˜ํ•œ, ๋„“์€ ์„ ํ˜• ๋ฒ”์œ„๋ฅผ ๊ฐ€์ง€๋Š” ํ•˜์ด๋ธŒ๋ฆฌ๋“œ์™€ ํ•จ๊ป˜ ๊ฒŒ์ธ ๊ฐ์†Œ๊ธฐ๋ฅผ ํ˜•์„ฑํ•˜๊ฒŒ ๋˜๋Š” ์„ ํ˜• ๋ฒ”์œ„ ์ฆํญ๊ธฐ๋ฅผ ํ†ตํ•ด 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ์˜ ์„ ํ˜•์„ฑ๊ณผ ์ง„ํญ์˜ ํŠธ๋ ˆ์ด๋“œ ์˜คํ”„ ๊ด€๊ณ„๋ฅผ ๊นจ๋Š” ๊ฒƒ์ด ๊ฐ€๋Šฅํ•˜์˜€๋‹ค. ๋™์‹œ ์–‘๋ฐฉํ–ฅ ์†ก์ˆ˜์‹ ๊ธฐ ์นฉ์€ 40 ๋‚˜๋…ธ๋ฏธํ„ฐ ์ƒํ˜ธ๋ณด์™„ํ˜• ๋ฉ”ํƒˆ ์‚ฐํ™” ๋ฐ˜๋„์ฒด ๊ณต์ •์œผ๋กœ ์ œ์ž‘๋˜์—ˆ๋‹ค. ์ƒ๊ธฐ ์„ค๊ณ„ ๊ธฐ์ˆ ๋“ค์„ ์ด์šฉํ•˜์—ฌ, 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ๋ฐ 2๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์†ก์ˆ˜์‹ ๊ธฐ ๋ชจ๋‘ 5m ์ฑ„๋„ (์ฑ„๋„ ๋กœ์Šค 15.9 dB) ์—์„œ 1E-12 ๋ณด๋‹ค ๋‚ฎ์€ ๋น„ํŠธ ์—๋Ÿฌ์œจ์„ ๋‹ฌ์„ฑํ•˜์˜€๊ณ , ์ด 78.4 mW ์˜ ์ „๋ ฅ ์†Œ๋ชจ๋ฅผ ๊ธฐ๋กํ•˜์˜€๋‹ค. ์ข…ํ•ฉ์ ์ธ ์†ก์ˆ˜์‹ ๊ธฐ๋Š” ์„ฑ๊ณผ ๋Œ€ํ‘œ์ง€ํ‘œ๋กœ 0.41 pJ/b/dB ์™€ ํ•จ๊ป˜ ๋™์‹œ ์–‘๋ฐฉํ–ฅ ํ†ต์‹  ์•„๋ž˜์—์„œ 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ ๋ฐ 2๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ ๊ฐ๊ฐ์—์„œ ์•„์ด ๋งˆ์ง„ 0.15 UI ์™€ 0.57 UI ๋ฅผ ๋‹ฌ์„ฑํ•˜์˜€๋‹ค. ์ด ์ˆ˜์น˜๋Š” ์„ฑ๊ณผ ๋Œ€ํ‘œ์ง€ํ‘œ 0.5 ์ดํ•˜๋ฅผ ๊ฐ€์ง€๋Š” ๊ธฐ์กด ๋™์‹œ ์–‘๋ฐฉํ–ฅ ์†ก์ˆ˜์‹ ๊ธฐ์™€์˜ ๋น„๊ต์—์„œ ์ตœ๊ณ ์˜ ์•„์ด ๋งˆ์ง„์„ ๊ธฐ๋กํ•˜์˜€๋‹ค.In this dissertation, design techniques of a highly asymmetric simultaneous bidirectional (SB) transceivers with high-speed PAM-4 and low-speed PAM-2 signals are proposed and demonstrated for the next-generation automotive camera link. In a first prototype design, a PAM-4 transmitter with 10B6Q DC balance code and a PAM-4 adaptive receiver with fixed data and threshold levels (dtLevs) are presented. In PAM-4 transmitter, an area- and power-efficient 10B6Q code for an AC coupled link system that guarantees DC balance and limited run length of six is proposed. Although the input data width of 10 bits is used here, the proposed scheme has an extensibility for the input data width to cover various data types of the camera. On the other hand, in the PAM-4 adaptive receiver, to optimally cancel the sampler offset for a lower BER, instead of adjusting dtLevs, the gain of a programmable gain amplifier is adjusted adaptively under fixed dtLevs. The prototype chips including above proposed 10B6Q code and fixed dtLevs are fabricated in 40-nm CMOS technology and tested in chip-on-board assembly. The 10B6Q code only occupies an active area of 0.0009 mm2 with a synthesized gate count of 645. It also consumes 0.23 mW at the operating clock frequency of 667 MHz. The transmitter with 10B6Q code delivers 8-Gb/s PAM-4 signal to the adaptive receiver using fixed dtLevs through a lossy 12-m cable (22-dB channel loss) with a BER of 1E-8, and the eye margin larger than 0.15 UI x 50 mV is measured for a BER of 1E-5. The proto-type chips consume 65.2 mW (excluding PLL), exhibiting an FoM of 0.37 pJ/b/dB. In a second prototype design advanced from the first prototypes, An asymmetric SB transceivers incorporating a 12-Gb/s PAM-4 forward channel and a 125-Mb/s PAM-2 back channel are presented and demonstrated. The proposed wide linear range (WLR) hybrid combined with a gmC low-pass filter and an echo canceller effectively suppresses the outbound signals by more than 24dB. In addition, linear range enhancer which forms a gain attenuator with WLR hybrid breaks the trade-off between the linearity and the amplitude of the PAM-4 signal. The SB transceiver chips are separately fabricated in 40-nm CMOS technology. Using above design techniques, both PAM-4 and PAM-2 SB transceivers achieve BER less than 1E-12 over a 5-m channel (15.9 dB channel loss), consuming 78.4 mW. The overall transceivers achieve an FoM of 0.41 pJ/b/dB and eye margin (at BER of 1E-12) of 0.15 UI and 0.57 UI for the forward PAM-4 and back PAM-2 signals, respectively, under SB communication. This is the best eye margin compared to the prior art SB transceivers with an FoM less than 0.5.CHAPTER 1 INTRODUCTION 1 1.1 MOTIVATION 1 1.2 DISSERTATION ORGANIZATION 4 CHAPTER 2 BACKGROUND ON AUTOMOTIVE CAMERA LINK 6 2.1 OVERVIEW 6 2.2 SYSTEM REQUIREMENTS 10 2.2.1 CHANNEL 10 2.2.2 POWER OVER DIFFERENTIAL LINE (PODL) 12 2.2.3 AC COUPLING AND DC BALANCE CODE 15 2.2.4 SIMULTANEOUS BIDIRECTIONAL COMMUNICATION 18 2.2.4.1 HYBRID 18 2.2.4.2 ECHO CANCELLER 20 2.2.5 ADAPTIVE RECEIVE EQUALIZATION 22 CHAPTER 3 AREA AND POWER EFFICIENT 10B6Q ENCODER FOR DC BALANCE 25 3.1 INTRODUCTION 25 3.2 PRIOR WORKS 28 3.3 PROPOSED AREA- AND POWER-EFFICIENT 10B6Q PAM-4 CODER 30 3.4 DESIGN OF THE 10B6Q CODE 33 3.4.1 PAM-4 DC BALANCE 35 3.4.2 PAM-4 TRANSITION DENSITY 35 3.4.3 10B6Q DECODER 37 3.5 IMPLEMENTATION AND MEASUREMENT RESULTS 40 CHAPTER 4 PAM-4 TRANSMITTER AND ADAPTIVE RECEIVER WITH FIXED DATA AND THRESHOLD LEVELS 45 4.1 INTRODUCTION 45 4.2 PRIOR WORKS 47 4.3 ARCHITECTURE AND IMPLEMENTATION 49 4.2.1 PAM-4 TRANSMITTER 49 4.2.2 PAM-4 ADAPTIVE RECEIVER 52 4.3 MEASUREMENT RESULTS 62 CHAPTER 5 ASYMMETRIC SIMULTANEOUS BIDIRECTIONAL TRANSCEIVERS USING WIDE LINEAR RANGE HYBRID 68 5.1 INTRODUCTION 68 5.2 PRIOR WORKS 70 5.3 WIDE LINEAR RANGE (WLR) HYBRID 75 5.3 IMPLEMENTATION 78 5.3.1 SERIALIZER (SER) DESIGN 78 5.3.2 DESERIALIZER (DES) DESIGN 79 5.4 HALF CIRCUIT ANALYSIS OF WLR HYBRID AND LRE 82 5.5 MEASUREMENT RESULTS 88 CHAPTER 6 CONCLUSION 97 BIBLIOGRAPHY 99 ์ดˆ ๋ก 106๋ฐ•

    A Low-Power BFSK/OOK Transmitter for Wireless Sensors

    Get PDF
    In recent years, significant improvements in semiconductor technology have allowed consistent development of wireless chipsets in terms of functionality and form factor. This has opened up a broad range of applications for implantable wireless sensors and telemetry devices in multiple categories, such as military, industrial, and medical uses. The nature of these applications often requires the wireless sensors to be low-weight and energy-efficient to achieve long battery life. Among the various functions of these sensors, the communication block, used to transmit the gathered data, is typically the most power-hungry block. In typical wireless sensor networks, transmission range is below 10 meters and required radiated power is below 1 milliwatt. In such cases, power consumption of the frequency-synthesis circuits prior to the power amplifier of the transmitter becomes significant. Reducing this power consumption is currently the focus of various research endeavors. A popular method of achieving this goal is using a direct-modulation transmitter where the generated carrier is directly modulated with baseband data using simple modulation schemes. Among the different variations of direct-modulation transmitters, transmitters using unlocked digitally-controlled oscillators and transmitters with injection or resonator-locked oscillators are widely investigated because of their simple structure. These transmitters can achieve low-power and stable operation either with the help of recalibration or by sacrificing tuning capability. In contrast, phase-locked-loop-based (PLL) transmitters are less researched. The PLL uses a feedback loop to lock the carrier to a reference frequency with a programmable ratio and thus achieves good frequency stability and convenient tunability. This work focuses on PLL-based transmitters. The initial goal of this work is to reduce the power consumption of the oscillator and frequency divider, the two most power-consuming blocks in a PLL. Novel topologies for these two blocks are proposed which achieve ultra-low-power operation. Along with measured performance, mathematical analysis to derive rule-of-thumb design approaches are presented. Finally, the full transmitter is implemented using these blocks in a 130 nanometer CMOS process and is successfully tested for low-power operation

    ๋ฉ”๋ชจ๋ฆฌ ์ธํ„ฐํŽ˜์ด์Šค๋ฅผ ์œ„ํ•œ 4 ๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์ฟผํ„ฐ ๋ ˆ์ดํŠธ ์ˆ˜์‹ ๊ธฐ ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2022. 8. ๊น€์ˆ˜ํ™˜.๋ณธ ์—ฐ๊ตฌ์—์„œ๋Š” ๋ฉ”๋ชจ๋ฆฌ ์ธํ„ฐํŽ˜์ด์Šค๋ฅผ ์œ„ํ•œ 4 ๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ (PAM-4) ์ˆ˜์‹ ๊ธฐ์™€ ์ง๊ต ํด๋ก์„ ์ƒ์„ฑํ•˜๋Š” ์ง๊ต ์‹ ํ˜ธ ๋ณด์ •๊ธฐ๋ฅผ ์ œ์•ˆ๋œ๋‹ค. ๋ฐ์ดํ„ฐ ์„ผํ„ฐ์—์„œ ์ฆ๊ฐ€ํ•˜๋Š” IP ํŠธ๋ž˜ํ”ฝ์€ ๊ณ ์† ๋ฐ ์ €์ „๋ ฅ ๋ฉ”๋ชจ๋ฆฌ ์ธํ„ฐํŽ˜์ด์Šค์— ๋Œ€ํ•œ ์ˆ˜์š”๋ฅผ ์ฆ๊ฐ€์‹œ์ผœ์™”๋‹ค. ์ด๋Ÿฌํ•œ ์š”๊ตฌ๋ฅผ ๋งŒ์กฑ์‹œํ‚ค๊ธฐ ์œ„ํ•ด ํด๋Ÿญ ๋ฐ ๋‚˜์ดํ€ด์ŠคํŠธ ์ฃผํŒŒ์ˆ˜๋ฅผ ๋†’์ด์ง€ ์•Š๊ณ ๋„ ๋ฐ์ดํ„ฐ ์ „์†ก๋ฅ ์„ ๋†’์ผ ์ˆ˜ ์žˆ๋Š” PAM-4 ์‹ ํ˜ธ๊ฐ€ ์ฃผ๋ชฉ์„ ๋ฐ›๊ณ  ์žˆ๋‹ค. PAM-4 ์‹ ํ˜ธ๋Š” ์ œ๋กœ ๋น„ ๋ณต๊ท€ ์‹ ํ˜ธ (NRZ) ๋ณด๋‹ค 3๋ฐฐ ๋‚ฎ์€ ์ˆ˜์ง ๋งˆ์ง„์„ ๊ฐ€์ง€๋ฉฐ, ์ด๋Š” ๊ฒฐ์ • ํ”ผ๋“œ๋ฐฑ ์ดํ€„๋ผ์ด์ € ๋‚ด ์Šฌ๋ผ์ด์Šค์˜ ํด๋Ÿญ-ํ ๋”œ๋ ˆ์ด๋ฅผ ์ฆ๊ฐ€์‹œํ‚ค๋ฉฐ, ์ด๋กœ ์ธํ•ด PAM-4 ๊ฒฐ์ • ํ”ผ๋“œ๋ฐฑ ์ดํ€„๋ผ์ด์ €์˜ ์„ฑ๋Šฅ์„ ์ œํ•œํ•˜๋Š” ์š”์ธ์ด๋‹ค. ๋ณธ ์—ฐ๊ตฌ์—์„œ๋Š” ์ธ๋ฒ„ํ„ฐ ๊ธฐ๋ฐ˜์˜ ํ•ฉ์‚ฐ๊ธฐ๋ฅผ ์ด์šฉ, ์„ ํƒ์ ์œผ๋กœ ์‹ ํ˜ธ๋ฅผ ์ฆํญ์‹œํ‚ค๋Š” ๊ฒฐ์ • ํ”ผ๋“œ๋ฐฑ ์ดํ€„๋ผ์ด์ €๋ฅผ ์‚ฌ์šฉํ•จ์œผ๋กœ์จ ์Šฌ๋ผ์ด์„œ์˜ ์ „๋ ฅ ์†Œ๋ชจ๋ฅผ ์ฆ๊ฐ€์‹œํ‚ค์ง€ ์•Š์œผ๋ฉด์„œ ์Šฌ๋ผ์ด์„œ์˜ ํด๋Ÿญ-ํ ๋”œ๋ ˆ์ด๋ฅผ ์ค„์ผ ์ˆ˜ ์žˆ๋‹ค. ๋˜ํ•œ, ์ ์‘ํ˜• ์ง€์—ฐ ์ด๋“ ์ปจํŠธ๋กค๋Ÿฌ๋ฅผ ํฌํ•จํ•˜๋Š” ์ง๊ต ์‹ ํ˜ธ ๋ณด์ •๊ธฐ๋Š” ๋†’์€ ์ •ํ™•๋„์™€ ๋น ๋ฅธ ์Šคํ ๋ณด์ •์œผ๋กœ ์ฟผ๋“œ๋Ÿฌ์ฒ˜ ํด๋Ÿญ ๊ฐ„์˜ ์Šคํ๋ฅผ ๊ต์ •ํ•  ์ˆ˜ ์žˆ๋‹ค. ์„ ํƒ์  ๋ˆˆ ์ฆํญ ๊ฒฐ์ • ํ”ผ๋“œ๋ฐฑ ์ดํ€„๋ผ์ด์ €์™€ ์ ์‘ํ˜• ์ง€์—ฐ ์ด๋“ ์ปจํŠธ๋กค๋Ÿฌ๋ฅผ ํฌํ•จํ•˜๋Š” ์ง๊ต ์‹ ํ˜ธ ๋ณด์ •๊ธฐ์˜ ์„ฑ๋Šฅ์„ ๊ฒ€์ฆํ•˜๊ธฐ ์œ„ํ•ด ํ”„๋กœํ† ํƒ€์ž… ์นฉ์„ ์ œ์ž‘ํ•˜์˜€๋‹ค. ์ œ์ž‘๋œ ์นฉ์€ 65 nm CMOS ๊ณต์ •์œผ๋กœ ์ œ์ž‘๋˜์—ˆ๋‹ค. ํ”„๋กœํ† ํƒ€์ž… ์นฉ์€ 24 Gb/s/pin ์—์„œ 10-12 ์˜ ๋น„ํŠธ ์—๋Ÿฌ์œจ์„ 100 mUI ์˜ ์‹ ํ˜ธ ๋„ˆ๋น„๋กœ ๋‹ฌ์„ฑํ•˜์˜€๋‹ค. ํ”„๋กœํ† ํƒ€์ž… ์นฉ ๋‚ด PAM-4 ์ˆ˜์‹ ๊ธฐ๋Š” 0.73 pJ/b ์˜ ์—๋„ˆ์ง€ ํšจ์œจ์„ ๊ฐ–๋Š”๋‹ค. ๋˜ํ•œ ์ ์‘ํ˜• ์ง€์—ฐ ์ด๋“ ์ปจํŠธ๋กค๋Ÿฌ๋ฅผ ํฌํ•จํ•˜๋Š” ์ง๊ต ์‹ ํ˜ธ ๋ณด์ •๊ธฐ๋Š” 3 GHz ์ฟผ๋“œ๋Ÿฌ์ฒ˜ ํด๋Ÿญ ๊ฐ„ ์ตœ๋Œ€ 21.2 ps ์˜ ์Šคํ๋ฅผ 0.8 ps ๊นŒ์ง€ ์ค„์ผ ์ˆ˜ ์žˆ์œผ๋ฉฐ, ์ด ๋•Œ 76.9 ns ์˜ ๊ต์ • ์‹œ๊ฐ„์„ ๊ฐ–๋Š”๋‹ค. ์ œ์•ˆํ•˜๋Š” ์ง๊ต ์‹ ํ˜ธ ๋ณด์ •๊ธฐ๋Š” 3 GHz ์—์„œ 2.15 mW/GHz ์˜ ์ „๋ ฅ ํšจ์œจ์„ ๊ฐ–๋Š”๋‹ค.A four-level pulse amplitude modulation (PAM-4) receiver, and a quadrature signal corrector (QSC) that generates quadrature clocks for memory interfaces is presented. Increasing IP traffic in data centers has increased the demand for high-speed and low-power memory interfaces. To satisfy this demand, PAM-4 signaling, which can increase data-rate without increasing clock and Nyquist frequency, is received considerable attention. PAM- signaling has vertical which three times lower than non-return-to-zero (NRZ) signaling, which makes the clock-to-Q delay of the slicer in the decision feedback equalizer (DFE) increases. This makes the DFE difficult to satisfy the timing constraint. In this paper, by using a DFE with inverter-based summers, the clock-to-Q delay of the slicer can be reduced without increasing the power consumption of the slicers. Also, the QSC using an adaptive delay gain controller can correct the skew between the quadrature clock with low skew and short correction time. The prototype receiver including the DFE with the inverter-based summer and the QSC using the adaptive delay gain controller was fabricated in 65 nm CMOS process. The prototype chip can achieve a bit error rate (BER) of 10-12 at 24 Gb/s/pin, and at this time, an eye width of 100 mUI is secured. The efficiency of the receiver is 0.73 pJ/b. In addition, the QSC cna reduce the maximum 21.2 ps of skew between 3 GHz quadrature clocks to 0.8 ps and has a correction time of 76.9 ns. The efficiency of the QSC is 2.15 mW/GHz.ABSTRACT 1 CONTENTS 3 LIST OF FIGURES 5 LIST OF TABLE 9 CHAPTER 1 1 INTRODUCTION 1 1.1 MOTIVATION 1 1.2 PAM-4 SIGNALING 7 1.2.1 DESIGN CONSIDERATIONS ON PAM-4 RECEIVER 10 1.2.2 PRIOR WORKS 14 1.3 QUARTER-RATE ARCHITECTURE 18 1.3.1 DESIGN CONSIDERATION ON QUARTER-RATE ARCHITECTURE 20 1.3.2 PRIOR WORKS 25 1.4 SUMMARY 28 1.5 THESIS ORGANIZATION 30 CHAPTER 2 31 CONCEPTS OF DFE WITH INVERTER-BASED SUMMER 31 2.1 CONCEPTUAL ARCHITECTURE OF DFE WITH INVERTER-BASED SUMMER 32 2.2 DESIGN CONSIDERATION OF INVERTER-BASED SUMMER 37 CHAPTER 3 41 CONCEPTS OF QUADRATURE SIGNAL CORRECTOR USING ADAPTIVE DELAY GAIN CONTROLLER 41 3.1 OPERATION OF PROPOSED QUADRATURE SIGNAL CORRECTOR 42 3.2 LOOP FILTER INCLUDING ADAPTIVE DELAY GAIN CONTROLLER 45 CHAPTER 4 48 ARCHITECTURE AND IMPLEMENTATION 48 4.1 OVERALL ARCHITECTURE 49 4.2 ANALOG FRONT END 52 4.3 DECISION FEEDBACK EQUALIZER WITH INVERTER-BASED SUMMER 54 4.4 CLOCK PATH 62 4.5 QUADRATURE SIGNAL CORRECTOR WITH ADAPTIVE DELAY GAIN CONTROLLER 63 CHAPTER 5 70 EXPERIMENTAL RESULTS 70 5.1 EXPERIMENTAL SETUP 70 5.2 EXPERIMENTAL RESULTS 74 5.2.1 MEASUREMENT RESULTS OF PAM-4 RECEIVER WITH DECISION FEEDBACK EQUALIZER USING INVERTER-BASED SUMMER 74 5.2.2 MEASUREMENT RESULTS OF QUADRATURE SIGNAL CORRECTOR USING ADAPTIVE DELAY GAIN CONTROLLER 77 CHAPTER 6 83 CONCLUSION 83 BIBLIOGRAPHY 86๋ฐ•
    • โ€ฆ
    corecore