10 research outputs found

    3D-MRI Obstruction and Visualization of Pharyngeal Airway Tract using Open Source Seeded Technique

    Get PDF
    Abstract: Obstructive Sleep Apnea(OSA) is breathing disorder syndrome in which the airway tract pauses during sleep due to collapse of pharyngeal airway. It is occurred at the sleep time, with fourth dimensional high resolution in airway tract Obstruction in children and adults with OSA. Here, we the operator places the seeds that includes the Oesopharyngeal air tract and found out a threshold for the first frame in order to determine the affected tissues which blocks the patients pharyngeal tract. In this automated segmentation method it shows the process of MRI studies of the pharyngeal air pathway and enable diagnose of obstructive tissues with the collapse tissues. Region growing method results well in Dice Coefficients compared with manual segmentation. It automatically detects 90% of collapse tissues. This approach leads to segment the pharyngeal pathway correctly. It uses long MRI scans in order to diagnosis the collapsed tissues with graph, accurate details and coefficients in a short span of duration

    A Comprehensive Review of Distributed Coding Algorithms for Visual Sensor Network (VSN)

    Get PDF
    Since the invention of low cost camera, it has been widely incorporated into the sensor node in Wireless Sensor Network (WSN) to form the Visual Sensor Network (VSN). However, the use of camera is bringing with it a set of new challenges, because all the sensor nodes are powered by batteries. Hence, energy consumption is one of the most critical issues that have to be taken into consideration. In addition to this, the use of batteries has also limited the resources (memory, processor) that can be incorporated into the sensor node. The life time of a VSN decreases quickly as the image is transferred to the destination. One of the solutions to the aforementioned problem is to reduce the data to be transferred in the network by using image compression. In this paper, a comprehensive survey and analysis of distributed coding algorithms that can be used to encode images in VSN is provided. This also includes an overview of these algorithms, together with their advantages and deficiencies when implemented in VSN. These algorithms are then compared at the end to determine the algorithm that is more suitable for VSN

    Cost-effective Hardware Design of a SPIHT Compression Algorithm

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ (์„์‚ฌ)-- ์„œ์šธ๋Œ€ํ•™๊ต ๋Œ€ํ•™์› : ์ „๊ธฐยท์ปดํ“จํ„ฐ๊ณตํ•™๋ถ€, 2015. 2. Jae Ha Kim.Set Partitioning In Hierarchical Trees (SPIHT) is one of most popular embedded coding algorithms applied for wavelet coding images. It allows progressive transmission of information and gives high coding efficiency. In addition, it can omit entropy coding of the bit stream by arithmetic code with only small loss in performance. Thus it offers a cheaper and faster hardware design. In this dissertation, a cost-effective design of a SPIHT-based algorithm is proposed. In this algorithm, an image is partitioned into 1x64 blocks, each of which is transformed by DWT to generate wavelet coefficients. The wavelet coefficients are coded by SPIHT to generate bit-stream. Due to the mismatch of the data structure between DWT and SPIHT, the large buffers are required. In order to reduce buffers, a new data structure of wavelet coefficients and partitioned SPIHT are proposed. A wavelet-based block is partitioned into small sub-blocks each of which is compressed independently. To minimize distortion due to the sub-block-based compression, a bit-allocation scheme is proposed. The proposed design is implemented in both software and hardware. Experimental results show that the proposed design reduces the buffer size while minimizing the degradation of the rate-distortion performance. It is proved that the proposed design outperforms previous designs in hardware cost.Chapter โ… . Introduction 1 Chapter โ…ก. Basic Architecture of the compression algorithm 5 Chapter โ…ข. A Partitioned NLS Algorithm 17 Chapter โ…ฃ. Adjustment of the target bit lengths for individual sub-blocks Chapter โ…ค. Experimental Results 35 Chapter โ…ฅ. Conclusion 48 References 50 Abstract 52 ์ดˆ๋ก 53Maste

    Algorithms and Architectures for Secure Embedded Multimedia Systems

    Get PDF
    Embedded multimedia systems provide real-time video support for applications in entertainment (mobile phones, internet video websites), defense (video-surveillance and tracking) and public-domain (tele-medicine, remote and distant learning, traffic monitoring and management). With the widespread deployment of such real-time embedded systems, there has been an increasing concern over the security and authentication of concerned multimedia data. While several (software) algorithms and hardware architectures have been proposed in the research literature to support multimedia security, these fail to address embedded applications whose performance specifications have tighter constraints on computational power and available hardware resources. The goals of this dissertation research are two fold: 1. To develop novel algorithms for joint video compression and encryption. The proposed algorithms reduce the computational requirements of multimedia encryption algorithms. We propose an approach that uses the compression parameters instead of compressed bitstream for video encryption. 2. Hardware acceleration of proposed algorithms over reconfigurable computing platforms such as FPGA and over VLSI circuits. We use signal processing knowledge to make the algorithms suitable for hardware optimizations and try to reduce the critical path of circuits using hardware-specific optimizations. The proposed algorithms ensures a considerable level of security for low-power embedded systems such as portable video players and surveillance cameras. These schemes have zero or little compression losses and preserve the desired properties of compressed bitstream in encrypted bitstream to ensure secure and scalable transmission of videos over heterogeneous networks. They also support indexing, search and retrieval in secure multimedia digital libraries. This property is crucial not only for police and armed forces to retrieve information about a suspect from a large video database of surveillance feeds, but extremely helpful for data centers (such as those used by youtube, aol and metacafe) in reducing the computation cost in search and retrieval of desired videos

    ๋””์Šคํ”Œ๋ ˆ์ด ์žฅ์น˜๋ฅผ ์œ„ํ•œ ๊ณ ์ • ๋น„์œจ ์••์ถ• ํ•˜๋“œ์›จ์–ด ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ (๋ฐ•์‚ฌ)-- ์„œ์šธ๋Œ€ํ•™๊ต ๋Œ€ํ•™์› : ์ „๊ธฐยท์ปดํ“จํ„ฐ๊ณตํ•™๋ถ€, 2016. 2. ์ดํ˜์žฌ.๋””์Šคํ”Œ๋ ˆ์ด ์žฅ์น˜์—์„œ์˜ ์••์ถ• ๋ฐฉ์‹์€ ์ผ๋ฐ˜์ ์ธ ๋น„๋””์˜ค ์••์ถ• ํ‘œ์ค€๊ณผ๋Š” ๋‹ค๋ฅธ ๋ช‡ ๊ฐ€์ง€ ํŠน์ง•์ด ์žˆ๋‹ค. ์ฒซ์งธ, ํŠน์ˆ˜ํ•œ ์–ดํ”Œ๋ฆฌ์ผ€์ด์…˜์„ ๋ชฉํ‘œ๋กœ ํ•œ๋‹ค. ๋‘˜์งธ, ์••์ถ• ์ด๋“, ์†Œ๋น„ ์ „๋ ฅ, ์‹ค์‹œ๊ฐ„ ์ฒ˜๋ฆฌ ๋“ฑ์„ ์œ„ํ•ด ํ•˜๋“œ์›จ์–ด ํฌ๊ธฐ๊ฐ€ ์ž‘๊ณ , ๋ชฉํ‘œ๋กœ ํ•˜๋Š” ์••์ถ•๋ฅ ์ด ๋‚ฎ๋‹ค. ์…‹์งธ, ๋ž˜์Šคํ„ฐ ์ฃผ์‚ฌ ์ˆœ์„œ์— ์ ํ•ฉํ•ด์•ผ ํ•œ๋‹ค. ๋„ท์งธ, ํ”„๋ ˆ์ž„ ๋ฉ”๋ชจ๋ฆฌ ํฌ๊ธฐ๋ฅผ ์ œํ•œ์‹œํ‚ค๊ฑฐ๋‚˜ ์ž„์˜ ์ ‘๊ทผ์„ ํ•˜๊ธฐ ์œ„ํ•˜์—ฌ ์••์ถ• ๋‹จ์œ„๋‹น ๋ชฉํ‘œ ์••์ถ•๋ฅ ์„ ์‹ค์‹œ๊ฐ„์œผ๋กœ ์ •ํ™•ํžˆ ๋งž์ถœ ์ˆ˜ ์žˆ์–ด์•ผ ํ•œ๋‹ค. ๋ณธ ๋…ผ๋ฌธ์—์„œ๋Š” ์ด์™€ ๊ฐ™์€ ํŠน์ง•์„ ๋งŒ์กฑ์‹œํ‚ค๋Š” ์„ธ ๊ฐ€์ง€ ์••์ถ• ์•Œ๊ณ ๋ฆฌ์ฆ˜๊ณผ ํ•˜๋“œ์›จ์–ด ๊ตฌ์กฐ๋ฅผ ์ œ์•ˆํ•˜๋„๋ก ํ•œ๋‹ค. LCD ์˜ค๋ฒ„๋“œ๋ผ์ด๋ธŒ๋ฅผ ์œ„ํ•œ ์••์ถ• ๋ฐฉ์‹์œผ๋กœ๋Š” BTC(block truncation coding) ๊ธฐ๋ฐ˜์˜ ์••์ถ• ๋ฐฉ์‹์„ ์ œ์•ˆํ•˜๋„๋ก ํ•œ๋‹ค. ๋ณธ ๋…ผ๋ฌธ์€ ์••์ถ• ์ด๋“์„ ์ฆ๊ฐ€์‹œํ‚ค๊ธฐ ์œ„ํ•˜์—ฌ ๋ชฉํ‘œ ์••์ถ•๋ฅ  12์— ๋Œ€ํ•œ ์••์ถ• ๋ฐฉ์‹์„ ์ œ์•ˆํ•˜๋Š”๋ฐ, ์••์ถ• ํšจ์œจ์„ ํ–ฅ์ƒ์‹œํ‚ค๊ธฐ ์œ„ํ•˜์—ฌ ํฌ๊ฒŒ ๋‘ ๊ฐ€์ง€ ๋ฐฉ๋ฒ•์„ ์ด์šฉํ•œ๋‹ค. ์ฒซ ๋ฒˆ์งธ๋Š” ์ด์›ƒํ•˜๋Š” ๋ธ”๋ก๊ณผ์˜ ๊ณต๊ฐ„์  ์—ฐ๊ด€์„ฑ์„ ์ด์šฉํ•˜์—ฌ ๋น„ํŠธ๋ฅผ ์ ˆ์•ฝํ•˜๋Š” ๋ฐฉ๋ฒ•์ด๋‹ค. ๊ทธ๋ฆฌ๊ณ  ๋‘ ๋ฒˆ์งธ๋Š” ๋‹จ์ˆœํ•œ ์˜์—ญ์€ 2ร—16 ์ฝ”๋”ฉ ๋ธ”๋ก, ๋ณต์žกํ•œ ์˜์—ญ์€ 2ร—8 ์ฝ”๋”ฉ ๋ธ”๋ก์„ ์ด์šฉํ•˜๋Š” ๋ฐฉ๋ฒ•์ด๋‹ค. 2ร—8 ์ฝ”๋”ฉ ๋ธ”๋ก์„ ์ด์šฉํ•˜๋Š” ๊ฒฝ์šฐ ๋ชฉํ‘œ ์••์ถ•๋ฅ ์„ ๋งž์ถ”๊ธฐ ์œ„ํ•˜์—ฌ ์ฒซ ๋ฒˆ์งธ ๋ฐฉ๋ฒ•์œผ๋กœ ์ ˆ์•ฝ๋œ ๋น„ํŠธ๋ฅผ ์ด์šฉํ•œ๋‹ค. ์ €๋น„์šฉ ๊ทผ์ ‘-๋ฌด์†์‹ค ํ”„๋ ˆ์ž„ ๋ฉ”๋ชจ๋ฆฌ ์••์ถ•์„ ์œ„ํ•œ ๋ฐฉ์‹์œผ๋กœ๋Š” 1D SPIHT(set partitioning in hierarchical trees) ๊ธฐ๋ฐ˜์˜ ์••์ถ• ๋ฐฉ์‹์„ ์ œ์•ˆํ•˜๋„๋ก ํ•œ๋‹ค. SPIHT์€ ๊ณ ์ • ๋ชฉํ‘œ ์••์ถ•๋ฅ ์„ ๋งž์ถ”๋Š”๋ฐ ๋งค์šฐ ํšจ๊ณผ์ ์ธ ์••์ถ• ๋ฐฉ์‹์ด๋‹ค. ๊ทธ๋Ÿฌ๋‚˜ 1D ํ˜•ํƒœ์ธ 1D SPIHT์€ ๋ž˜์Šคํ„ฐ ์ฃผ์‚ฌ ์ˆœ์„œ์— ์ ํ•ฉํ•จ์—๋„ ๊ด€๋ จ ์—ฐ๊ตฌ๊ฐ€ ๋งŽ์ด ์ง„ํ–‰๋˜์ง€ ์•Š์•˜๋‹ค. ๋ณธ ๋…ผ๋ฌธ์€ 1D SPIHT์˜ ๊ฐ€์žฅ ํฐ ๋ฌธ์ œ์ ์ธ ์†๋„ ๋ฌธ์ œ๋ฅผ ํ•ด๊ฒฐํ•  ์ˆ˜ ์žˆ๋Š” ํ•˜๋“œ์›จ์–ด ๊ตฌ์กฐ๋ฅผ ์ œ์•ˆํ•œ๋‹ค. ์ด๋ฅผ ์œ„ํ•ด 1D SPIHT ์•Œ๊ณ ๋ฆฌ์ฆ˜์€ ๋ณ‘๋ ฌ์„ฑ์„ ์ด์šฉํ•  ์ˆ˜ ์žˆ๋Š” ํ˜•ํƒœ๋กœ ์ˆ˜์ •๋œ๋‹ค. ์ธ์ฝ”๋”์˜ ๊ฒฝ์šฐ ๋ณ‘๋ ฌ ์ฒ˜๋ฆฌ๋ฅผ ๋ฐฉํ•ดํ•˜๋Š” ์˜์กด ๊ด€๊ณ„๊ฐ€ ํ•ด๊ฒฐ๋˜๊ณ , ํŒŒ์ดํ”„๋ผ์ธ ์Šค์ผ€์ฅด๋ง์ด ๊ฐ€๋Šฅํ•˜๊ฒŒ ๋œ๋‹ค. ๋””์ฝ”๋”์˜ ๊ฒฝ์šฐ ๋ณ‘๋ ฌ๋กœ ๋™์ž‘ํ•˜๋Š” ๊ฐ ํŒจ์Šค๊ฐ€ ๋””์ฝ”๋”ฉํ•  ๋น„ํŠธ์ŠคํŠธ๋ฆผ์˜ ๊ธธ์ด๋ฅผ ๋ฏธ๋ฆฌ ์˜ˆ์ธกํ•  ์ˆ˜ ์žˆ๋„๋ก ์•Œ๊ณ ๋ฆฌ์ฆ˜์ด ์ˆ˜์ •๋œ๋‹ค. ๊ณ ์ถฉ์‹ค๋„(high-fidelity) RGBW ์ปฌ๋Ÿฌ ์ด๋ฏธ์ง€ ์••์ถ•์„ ์œ„ํ•œ ๋ฐฉ์‹์œผ๋กœ๋Š” ์˜ˆ์ธก ๊ธฐ๋ฐ˜์˜ ์••์ถ• ๋ฐฉ์‹์„ ์ œ์•ˆํ•˜๋„๋ก ํ•œ๋‹ค. ์ œ์•ˆ ์˜ˆ์ธก ๋ฐฉ์‹์€ ๋‘ ๋‹จ๊ณ„์˜ ์ฐจ๋ถ„ ๊ณผ์ •์œผ๋กœ ๊ตฌ์„ฑ๋œ๋‹ค. ์ฒซ ๋ฒˆ์งธ๋Š” ๊ณต๊ฐ„์  ์—ฐ๊ด€์„ฑ์„ ์ด์šฉํ•˜๋Š” ๋‹จ๊ณ„์ด๊ณ , ๋‘ ๋ฒˆ์งธ๋Š” ์ธํ„ฐ-์ปฌ๋Ÿฌ ์—ฐ๊ด€์„ฑ์„ ์ด์šฉํ•˜๋Š” ๋‹จ๊ณ„์ด๋‹ค. ์ฝ”๋”ฉ์˜ ๊ฒฝ์šฐ ์••์ถ• ํšจ์œจ์ด ๋†’์€ VLC(variable length coding) ๋ฐฉ์‹์„ ์ด์šฉํ•˜๋„๋ก ํ•œ๋‹ค. ๊ทธ๋Ÿฌ๋‚˜ ๊ธฐ์กด์˜ VLC ๋ฐฉ์‹์€ ๋ชฉํ‘œ ์••์ถ•๋ฅ ์„ ์ •ํ™•ํžˆ ๋งž์ถ”๋Š”๋ฐ ์–ด๋ ค์›€์ด ์žˆ์—ˆ์œผ๋ฏ€๋กœ ๋ณธ ๋…ผ๋ฌธ์—์„œ๋Š” Golomb-Rice ์ฝ”๋”ฉ์„ ๊ธฐ๋ฐ˜์œผ๋กœ ํ•œ ๊ณ ์ • ๊ธธ์ด ์••์ถ• ๋ฐฉ์‹์„ ์ œ์•ˆํ•˜๋„๋ก ํ•œ๋‹ค. ์ œ์•ˆ ์ธ์ฝ”๋”๋Š” ํ”„๋ฆฌ-์ฝ”๋”์™€ ํฌ์Šคํ„ฐ-์ฝ”๋”๋กœ ๊ตฌ์„ฑ๋˜์–ด ์žˆ๋‹ค. ํ”„๋ฆฌ-์ฝ”๋”๋Š” ํŠน์ • ์ƒํ™ฉ์— ๋Œ€ํ•˜์—ฌ ์‹ค์ œ ์ธ์ฝ”๋”ฉ์„ ์ˆ˜ํ–‰ํ•˜๊ณ , ๋‹ค๋ฅธ ๋ชจ๋“  ์ƒํ™ฉ์— ๋Œ€ํ•œ ์˜ˆ์ธก ์ธ์ฝ”๋”ฉ ์ •๋ณด๋ฅผ ๊ณ„์‚ฐํ•˜์—ฌ ํฌ์Šคํ„ฐ-์ฝ”๋”์— ์ „๋‹ฌํ•œ๋‹ค. ๊ทธ๋ฆฌ๊ณ  ํฌ์ŠคํŠธ-์ฝ”๋”๋Š” ์ „๋‹ฌ๋ฐ›์€ ์ •๋ณด๋ฅผ ์ด์šฉํ•˜์—ฌ ์‹ค์ œ ๋น„ํŠธ์ŠคํŠธ๋ฆผ์„ ์ƒ์„ฑํ•œ๋‹ค.์ œ 1 ์žฅ ์„œ๋ก  1 1.1 ์—ฐ๊ตฌ ๋ฐฐ๊ฒฝ 1 1.2 ์—ฐ๊ตฌ ๋‚ด์šฉ 4 1.3 ๋…ผ๋ฌธ ๊ตฌ์„ฑ 8 ์ œ 2 ์žฅ ์ด์ „ ์—ฐ๊ตฌ 9 2.1 BTC 9 2.1.1 ๊ธฐ๋ณธ BTC ์•Œ๊ณ ๋ฆฌ์ฆ˜ 9 2.1.2 ์ปฌ๋Ÿฌ ์ด๋ฏธ์ง€ ์••์ถ•์„ ์œ„ํ•œ BTC ์•Œ๊ณ ๋ฆฌ์ฆ˜ 10 2.2 SPIHT 13 2.2.1 1D SPIHT ์•Œ๊ณ ๋ฆฌ์ฆ˜ 13 2.2.2 SPIHT ํ•˜๋“œ์›จ์–ด 17 2.3 ์˜ˆ์ธก ๊ธฐ๋ฐ˜ ์ฝ”๋”ฉ 19 2.3.1 ์˜ˆ์ธก ๋ฐฉ๋ฒ• 19 2.3.2 VLC 20 2.3.3 ์˜ˆ์ธก ๊ธฐ๋ฐ˜ ์ฝ”๋”ฉ ํ•˜๋“œ์›จ์–ด 22 ์ œ 3 ์žฅ LCD ์˜ค๋ฒ„๋“œ๋ผ์ด๋ธŒ๋ฅผ ์œ„ํ•œ BTC 24 3.1 ์ œ์•ˆ ์•Œ๊ณ ๋ฆฌ์ฆ˜ 24 3.1.1 ๋น„ํŠธ-์ ˆ์•ฝ ๋ฐฉ๋ฒ• 25 3.1.2 ๋ธ”๋ก ํฌ๊ธฐ ์„ ํƒ ๋ฐฉ๋ฒ• 29 3.1.3 ์•Œ๊ณ ๋ฆฌ์ฆ˜ ์š”์•ฝ 31 3.2 ํ•˜๋“œ์›จ์–ด ๊ตฌ์กฐ 33 3.2.1 ํ”„๋ ˆ์ž„ ๋ฉ”๋ชจ๋ฆฌ ์ธํ„ฐํŽ˜์ด์Šค 34 3.2.2 ์ธ์ฝ”๋”์™€ ๋””์ฝ”๋”์˜ ๊ตฌ์กฐ 37 3.3 ์‹คํ—˜ ๊ฒฐ๊ณผ 44 3.3.1 ์•Œ๊ณ ๋ฆฌ์ฆ˜ ์„ฑ๋Šฅ 44 3.3.2 ํ•˜๋“œ์›จ์–ด ๊ตฌํ˜„ ๊ฒฐ๊ณผ 49 ์ œ 4 ์žฅ ์ €๋น„์šฉ ๊ทผ์ ‘-๋ฌด์†์‹ค ํ”„๋ ˆ์ž„ ๋ฉ”๋ชจ๋ฆฌ ์••์ถ•์„ ์œ„ํ•œ ๊ณ ์† 1D SPIHT 54 4.1 ์ธ์ฝ”๋” ํ•˜๋“œ์›จ์–ด ๊ตฌ์กฐ 54 4.1.1 ์˜์กด ๊ด€๊ณ„ ๋ถ„์„ ๋ฐ ์ œ์•ˆํ•˜๋Š” ํŒŒ์ดํ”„๋ผ์ธ ์Šค์ผ€์ฅด 54 4.1.2 ๋ถ„๋ฅ˜ ๋น„ํŠธ ์žฌ๋ฐฐ์น˜ 57 4.2 ๋””์ฝ”๋” ํ•˜๋“œ์›จ์–ด ๊ตฌ์กฐ 59 4.2.1 ๋น„ํŠธ์ŠคํŠธ๋ฆผ์˜ ์‹œ์ž‘ ์ฃผ์†Œ ๊ณ„์‚ฐ 59 4.2.2 ์ ˆ๋ฐ˜-ํŒจ์Šค ์ฒ˜๋ฆฌ ๋ฐฉ๋ฒ• 63 4.3 ํ•˜๋“œ์›จ์–ด ๊ตฌํ˜„ 65 4.4 ์‹คํ—˜ ๊ฒฐ๊ณผ 73 ์ œ 5 ์žฅ ๊ณ ์ถฉ์‹ค๋„ RGBW ์ปฌ๋Ÿฌ ์ด๋ฏธ์ง€ ์••์ถ•์„ ์œ„ํ•œ ๊ณ ์ • ์••์ถ•๋น„ VLC 81 5.1 ์ œ์•ˆ ์•Œ๊ณ ๋ฆฌ์ฆ˜ 81 5.1.1 RGBW ์ธํ„ฐ-์ปฌ๋Ÿฌ ์—ฐ๊ด€์„ฑ์„ ์ด์šฉํ•œ ์˜ˆ์ธก ๋ฐฉ์‹ 82 5.1.2 ๊ณ ์ • ์••์ถ•๋น„๋ฅผ ์œ„ํ•œ Golomb-Rice ์ฝ”๋”ฉ 85 5.1.3 ์•Œ๊ณ ๋ฆฌ์ฆ˜ ์š”์•ฝ 89 5.2 ํ•˜๋“œ์›จ์–ด ๊ตฌ์กฐ 90 5.2.1 ์ธ์ฝ”๋” ๊ตฌ์กฐ 91 5.2.2 ๋””์ฝ”๋” ๊ตฌ์กฐ 95 5.3 ์‹คํ—˜ ๊ฒฐ๊ณผ 101 5.3.1 ์•Œ๊ณ ๋ฆฌ์ฆ˜ ์‹คํ—˜ ๊ฒฐ๊ณผ 101 5.3.2 ํ•˜๋“œ์›จ์–ด ๊ตฌํ˜„ ๊ฒฐ๊ณผ 107 ์ œ 6 ์žฅ ์••์ถ• ์„ฑ๋Šฅ ๋ฐ ํ•˜๋“œ์›จ์–ด ํฌ๊ธฐ ๋น„๊ต ๋ถ„์„ 113 6.1 ์••์ถ• ์„ฑ๋Šฅ ๋น„๊ต 113 6.2 ํ•˜๋“œ์›จ์–ด ํฌ๊ธฐ ๋น„๊ต 120 ์ œ 7 ์žฅ ๊ฒฐ๋ก  125 ์ฐธ๊ณ ๋ฌธํ—Œ 128 ABSTRACT 135Docto

    A High-Performance Data Acquisition System for Smart Cameras in Science

    Get PDF
    This dissertation proposes a novel smart camera platform serving as a flexible data acquisition system for scientific applications. Current technological progress offers increasing performance in the areas we consider, namely high data-throughput, data processing, and detector performance. Prevalent data acquisition solutions typically focus on one of these aspects. However, driven by science, experiments experience increasing demands in terms of data throughput, speed and flexibility. In this dissertation, we introduce a system which, in addition to being able to provide high-speed data transfer, is also capable of interpreting the incoming information at an early stage. In order to demonstrate the full potential of the smart camera platform, we focus on X-ray imaging with synchrotron light sources. X-ray imaging applications can investigate the traits of technological and biological processes over microseconds for radiography, and milliseconds for tomography applications. These applications may require different sensors, and include complex experiment operations. The new smart camera platform is part of a larger project, UFO, which introduces a new concept for X-ray imaging. On-line data assessment is used to provide a data-driven feedback and active management of both the process and data acquisition procedure. This is accomplished using a GPU platform for fast reconstruction, embedded on-camera data processing, and integrating smart camera in a high-throughput data acquisition system. The final design of the smart camera platform consists of a custom high-performance FPGA board, providing continuous data transfer, embedded image processing, and a flexible input stage. In the IMAGE beamline of ANKA, camera is integrated in the new control system, and used in real-life applications. A maximum data-throughput of up to 8 GB/s is achieved. A custom image-based algorithm is implemented in the FPGA, with stringent real-time requirements, able to increase native sensor speed up to five times while reducing the amount of transfered data. Several image sensors are used, with resolutions of up to 20 megapixels and frame rates of up to 5 kfps. The smart camera platform was also used in non-imaging applications, stemming from the flexible input stage. The proposed camera architecture enables the user to modify the current system for any kind of high data-throughput applications, and to modify and implement custom processing algorithms

    Low-complexity, low-area computer architectures for cryptographic application in resource constrained environments

    Get PDF
    RCE (Resource Constrained Environment) is known for its stringent hardware design requirements. With the rise of Internet of Things (IoT), low-complexity and low-area designs are becoming prominent in the face of complex security threats. Two low-complexity, low-area cryptographic processors based on the ultimate reduced instruction set computer (URISC) are created to provide security features for wireless visual sensor networks (WVSN) by using field-programmable gate array (FPGA) based visual processors typically used in RCEs. The first processor is the Two Instruction Set Computer (TISC) running the Skipjack cipher. To improve security, a Compact Instruction Set Architecture (CISA) processor running the full AES with modified S-Box was created. The modified S-Box achieved a gate count reduction of 23% with no functional compromise compared to Boyarโ€™s. Using the Spartan-3L XC3S1500L-4-FG320 FPGA, the implementation of the TISC occupies 71 slices and 1 block RAM. The TISC achieved a throughput of 46.38 kbps at a stable 24MHz clock. The CISA which occupies 157 slices and 1 block RAM, achieved a throughput of 119.3 kbps at a stable 24MHz clock. The CISA processor is demonstrated in two main applications, the first in a multilevel, multi cipher architecture (MMA) with two modes of operation, (1) by selecting cipher programs (primitives) and sharing crypto-blocks, (2) by using simple authentication, key renewal schemes, and showing perceptual improvements over direct AES on images. The second application demonstrates the use of the CISA processor as part of a selective encryption architecture (SEA) in combination with the millions instructions per second set partitioning in hierarchical trees (MIPS SPIHT) visual processor. The SEA is implemented on a Celoxica RC203 Vertex XC2V3000 FPGA occupying 6251 slices and a visual sensor is used to capture real world images. Four images frames were captured from a camera sensor, compressed, selectively encrypted, and sent over to a PC environment for decryption. The final design emulates a working visual sensor, from on node processing and encryption to back-end data processing on a server computer

    Low-complexity, low-area computer architectures for cryptographic application in resource constrained environments

    Get PDF
    RCE (Resource Constrained Environment) is known for its stringent hardware design requirements. With the rise of Internet of Things (IoT), low-complexity and low-area designs are becoming prominent in the face of complex security threats. Two low-complexity, low-area cryptographic processors based on the ultimate reduced instruction set computer (URISC) are created to provide security features for wireless visual sensor networks (WVSN) by using field-programmable gate array (FPGA) based visual processors typically used in RCEs. The first processor is the Two Instruction Set Computer (TISC) running the Skipjack cipher. To improve security, a Compact Instruction Set Architecture (CISA) processor running the full AES with modified S-Box was created. The modified S-Box achieved a gate count reduction of 23% with no functional compromise compared to Boyarโ€™s. Using the Spartan-3L XC3S1500L-4-FG320 FPGA, the implementation of the TISC occupies 71 slices and 1 block RAM. The TISC achieved a throughput of 46.38 kbps at a stable 24MHz clock. The CISA which occupies 157 slices and 1 block RAM, achieved a throughput of 119.3 kbps at a stable 24MHz clock. The CISA processor is demonstrated in two main applications, the first in a multilevel, multi cipher architecture (MMA) with two modes of operation, (1) by selecting cipher programs (primitives) and sharing crypto-blocks, (2) by using simple authentication, key renewal schemes, and showing perceptual improvements over direct AES on images. The second application demonstrates the use of the CISA processor as part of a selective encryption architecture (SEA) in combination with the millions instructions per second set partitioning in hierarchical trees (MIPS SPIHT) visual processor. The SEA is implemented on a Celoxica RC203 Vertex XC2V3000 FPGA occupying 6251 slices and a visual sensor is used to capture real world images. Four images frames were captured from a camera sensor, compressed, selectively encrypted, and sent over to a PC environment for decryption. The final design emulates a working visual sensor, from on node processing and encryption to back-end data processing on a server computer
    corecore