23 research outputs found

    Effects of mechanical properties on the reliability of Cu/low-k metallization systems

    Get PDF
    Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Materials Science and Engineering, 2007.This electronic version was submitted by the student author. The certified thesis is available in the Institute Archives and Special Collections.Includes bibliographical references (leaves 211-217).Cu and low-dielectric-constant (k) metallization schemes are critical for improved performance of integrated circuits. However, low elastic moduli, a characteristic of the low-k materials, lead to significant reliability degradation in Cu-interconnects. A thorough understanding of the effects of mechanical properties on electromigration induced failures is required for accurate reliability assessments. During electromigration inside Cu-interconnects, a change in atomic concentration correlates with a change in stress through the effective bulk modulus of the materials system, B, which decreases as the moduli of low-k materials used as inter-level dielectrics (ILDs) decrease. This property is at the core of discussions on electromigration-induced failures by all mechanisms. B is computed using finite element modeling analyses, using experimentally determined mechanical properties of the individual constituents. Characterization techniques include nanoindentation, cantilever deflection, and pressurized membrane deflection for elastic properties measurements, and chevron-notched double-cantilever pull structures for adhesion measurements. The dominant diffusion path in Cu-interconnects is the interface between Cu and the capping layer, which is currently a Si3N4-based film. We performed experiments on Cu-interconnect segments to investigate the kinetics of electromigration. A steady resistance increase over time prior to open-circuit failure, a result of void growth, correlates with the electromigration drift velocity. Diffusive measurements made in this fashion are more fundamental than lifetime measurements alone, and correlate with the combined effects of the electron wind and the back stress forces during electromigration induced void growth.(cont.)Using this method, the electromigration activation energy was determined to be 0.80±0.06eV. We conducted experiments using Cu-interconnects with different lengths to study line length effects. Although a reliability improvement is observed as the segment length decreases, there is no deterministic current-density line-length product, jL, for which all segments are immortal. This is because small, slit-like voids forming directly below vias will cause open-failures in Cu-interconnects. Therefore, the probabilistic jLcrit values obtained from via-above type nterconnects approximate the thresholds for void nucleation. The fact that jLcrit,nuc monotonically decreases with B results from an energy balance between the strain energy released and surface energy cost for void nucleation and the critical stress required for void nucleation is proportional to B. We also performed electromigration experiments using Cu/low-k interconnect trees to investigate the effects of active atomic sinks and reservoirs on interconnect reliability. In all cases, failures were due to void growth. Kinetic parameters were extracted to be ... Quantitative analysis demonstrates that the reliability of the failing segments is modulated by the evolution of stress in the whole interconnect tree. During this process, not only the diffusive parameters but also B play critical roles. However, as B decreases, the positive effects of reservoirs on reliability are diminished, while the negative effects of sinks on reliability are amplified.(cont.) Through comprehensive failure analyses, we also successfully identified the mechanism of electromigration-induced extrusions in Cu/low-k interconnects to be nearmode-I interfacial fracture between the Si3N4-based capping layer and the metallization/ILD layer below. The critical stress required for extrusion is found to depend not only on B but also on the layout and dimensions of the interconnects. As B decreases, sparsely packed, wide interconnects are most prone to extrusion-induced failures. Altogether, this research accounts for the effects of mechanical properties on all mechanisms of failure due to electromigration. The results provide an improved experimental basis for accurate circuit-level, layout-specific reliability assessments.by Frank LiLi Wei.Ph.D

    Characterization of Thermo-Mechanical Damage in Tin and Sintered Nano-Silver Solders

    Get PDF
    abstract: Increasing density of microelectronic packages, results in an increase in thermal and mechanical stresses within the various layers of the package. To accommodate the high-performance demands, the materials used in the electronic package would also require improvement. Specifically, the damage that often occurs in solders that function as die-attachment and thermal interfaces need to be addressed. This work evaluates and characterizes thermo-mechanical damage in two material systems – Electroplated Tin and Sintered Nano-Silver solder. Tin plated electrical contacts are prone to formation of single crystalline tin whiskers which can cause short circuiting. A mechanistic model of their formation, evolution and microstructural influence is still not fully understood. In this work, growth of mechanically induced tin whiskers/hillocks is studied using in situ Nano-indentation and Electron Backscatter Diffraction (EBSD). Electroplated tin was indented and monitored in vacuum to study growth of hillocks without the influence of atmosphere. Thermal aging was done to study the effect of intermetallic compounds. Grain orientation of the hillocks and the plastically deformed region surrounding the indent was studied using Focused Ion Beam (FIB) lift-out technique. In addition, micropillars were milled on the surface of electroplated Sn using FIB to evaluate the yield strength and its relation to Sn grain size. High operating temperature power electronics use wide band-gap semiconductor devices (Silicon Carbide/Gallium Nitride). The operating temperature of these devices can exceed 250oC, preventing use of traditional Sn-solders as Thermal Interface materials (TIM). At high temperature, the thermomechanical stresses can severely degrade the reliability and life of the device. In this light, new non-destructive approach is needed to understand the damage mechanism when subjected to reliability tests such as thermal cycling. In this work, sintered nano-Silver was identified as a promising high temperature TIM. Sintered nano-Silver samples were fabricated and their shear strength was evaluated. Thermal cycling tests were conducted and damage evolution was characterized using a lab scale 3D X-ray system to periodically assess changes in the microstructure such as cracks, voids, and porosity in the TIM layer. The evolution of microstructure and the effect of cycling temperature during thermal cycling are discussed.Dissertation/ThesisDoctoral Dissertation Materials Science and Engineering 201

    Electric field-induced directed assembly of diblock copolymers and grain boundary grooving in metal interconnects

    Get PDF
    Das Anlegen eines elektrischen Feldes an Materialien hat eine faszinierende Wirkung. Unterschiedliche Werkstoffklassen sind einem externen elektrischen Feld entweder als ein Teil der Verarbeitung oder aufgrund der alleinigen Applikation ausgesetzt. Wenn das elektrische Feld fĂŒr die Verarbeitung verwendet wird, kann dieses die Mikrostruktur in Metallen, Legierungen, Keramiken und Polymeren verĂ€ndern, wodurch die physikalischen Eigenschaften verĂ€ndert werden. Alternativ können mehrere Einsatzmöglichkeiten wie beispielsweise der Einsatz in elektronischen GerĂ€ten dazu fĂŒhren, dass Materialien als Komponenten verwendet werden, die tĂ€glich intensiven StromstĂ€rken ausgesetzt sind. Eine stĂ€ndige Verlagerung der Atome kann zu Fehlern im offenen Stromkreis fĂŒhren, wodurch die ZuverlĂ€ssigkeit des gesamten GerĂ€ts beeintrĂ€chtigt wird. Mit Hilfe der Phasenfeldmethode wird in der vorliegenden Dissertation jeweils ein Anwendungsfall untersucht, in dem das elektrische Feld entweder positive oder negative Folgen haben kann. Im ersten Teil der Arbeit wird ein diffuses GrenzflĂ€chenmodell entwickelt und fĂŒr die Untersuchung der gerichteten Selbstorganisation von symmetrischen Diblock-Copolymeren verwendet, die gleichzeitig durch das elektrische Feld, die SubstrataffinitĂ€t und die BeschrĂ€nkung beeinflusst werden. Es werden verschiedene beschrĂ€nkende Geometrien untersucht und eine Reihe an Phasendiagrammen fĂŒr unterschiedliche Schichtdicken charakterisiert, die das VerhĂ€ltnis zwischen dem elektrischen Feld und der SubstratstĂ€rke zeigen. ZusĂ€tzlich zu der Ermittlung der vorhandenen parallelen, senkrechten und gemischten Lamellenphasen findet man, Ă€hnlich wie bei den vorausgegangenen analytischen Berechnungen und experimentellen Beobachtungen, auch einen Bereich im Phasendiagramm, der einem Lamellenabstand der GrĂ¶ĂŸe eines halben Integrals entspricht, in dem hybride Morphologien wie Benetzungsschichten in der Nachbarschaft des Substrats koexistieren, die entweder Löcher in der Mitte der Schicht oder senkrechte zylinderförmige Bereiche aufweisen. Des Weiteren wird die Untersuchung auf drei Dimensionen erweitert, in denen die letztgenannte Morphologie als eine hexagonal perforierte (HPL) Lamellenphase charakterisiert wird. Erstmals wird gezeigt, dass durch ein elektrisches Feld ein Ordnungs-Ordnungs-ĂŒbergang von einer Lamellenphase zu einer HPL-Phase hervorgerufen werden kann. Außerdem zeigt der kinetische Verlauf des Übergangs, dass es sich bei den perforierten Lamellen, die wĂ€hrend des Übergangs von parallelen zu senkrechten Lamellen in DĂŒnnschichten entstehen, um Zwischenstrukturen handelt. Im Folgenden werden verschiedene BeschĂ€digungsarten erlĂ€utert, die aufgrund der Elektromigration (EM) in Nanoverbindungen durch die Rille der Korngrenze verursacht werden. Dazu wird ein einkomponentiges, polykristallines Phasenfeldmodell verwendet, das die WindstĂ€rke der Elektronen berĂŒcksichtigt. Das Modell und dessen numerische Umsetzung wird erst mit der scharfen GrenzflĂ€chentheorie von Mullins verglichen, bei der die thermische Rillenbildung durch OberflĂ€chendiffusion vermittelt wird. Anschließend wird gezeigt, dass die Art der durch die fortschreitende Elektromigration verursachten SchĂ€digung stark durch einen Fluss durch GrenzflĂ€chen beeintrĂ€chtigt werden kann, der aufgrund der Elektromigration stattfindet. Ein schneller atomarer Transport entlang der OberflĂ€che fĂŒhrt zu einer formerhaltenden Versetzung der OberflĂ€che, wĂ€hrend der Schaden durch einen schnelleren atomaren Transport durch GrenzflĂ€chen in Form von interkristallinen Schlitzen mit einer formerhaltenden Spitze lokalisiert wird. Durch die Phasenfeldsimulationen wird die Funktion von krĂŒmmungs- und EM-induzierten heilenden Strömungen entlang der OberflĂ€che weiter hervorgehoben, die die Rille wieder auffĂŒllen und die Schadensausbreitung verzögern. Erstmals wird ein numerisches Modell erweitert, um die rĂ€umlich-zeitliche Schadenseinleitung, die Ausbreitung, die Selbstheilung und die Kornvergröberung in dreidimensionalen Verbindungen zu untersuchen. Anschließend zeigt ein kritischer Vergleich der aus der scharfen GrenzflĂ€chenmethode und der Phasenfeldmethode gewonnenen Lösungen bezĂŒglich der Rillenbildung, dass sowohl bei der Ermittlung der Rillenformen als auch beim Verlauf der Schadensart erhebliche Fehler entstehen können, wenn der durch die Elektromigration induzierte OberflĂ€chenfluss in den Theorien der scharfen GrenzflĂ€chen nicht berĂŒcksichtigt wird. Zur Beseitigung der Diskrepanzen wird schließlich ein neues scharfes GrenzflĂ€chenmodell fĂŒr finite Körner formuliert, das die zeitgleiche Kapillarwirkung und den durch die Elektromigration induzierten OberflĂ€chen- und GrenzflĂ€chenfluss berĂŒcksichtigt. Die mit dem neuen Modell getroffenen Vorhersagen zeigen eine sehr gute Übereinstimmung mit dem Phasenfeldmodell. Durch die Ergebnisse der vorliegenden Arbeit wird die DurchfĂŒhrbarkeit und Anwendbarkeit der Phasenfeldmethode in Bezug auf die Erfassung der erforderlichen Physik des Problems und in Bezug auf die BewĂ€ltigung der mikrostrukturellen Entwicklung effizient und elegant in einem PhĂ€nomen verdeutlicht, das durch ein elektrisches Feld verursacht wird

    All-copper chip-to-substrate interconnects for high performance integrated circuit devices

    Get PDF
    In this work, all-copper connections between silicon microchips and substrates are developed. The semiconductor industry advances the transistor density on a microchip based on the roadmap set by Moore's Law. Communicating with a microprocessor which has nearly one billion transistors is a daunting challenge. Interconnects from the chip to the system (i.e. memory, graphics, drives, power supply) are rapidly growing in number and becoming a serious concern. Specifically, the solder ball connections that are formed between the chip itself and the package are challenging to make and still have acceptable electrical and mechanical performance. These connections are being required to increase in number, increase in power current density, and increase in off-chip operating frequency. Many of the challenges with using solder connections are limiting these areas. In order to advance beyond the limitations of solder for electrical and mechanical performance, a novel approach to creating all-copper connections from the chip-to-substrate has been developed. The development included characterizing the electroless plating and annealing process used to create the connections, designing these connections to be compatible with the stress requirements for fragile low-k devices, and finally by improving the plating/annealing process to become process time competitive with solder. It was found that using a commercially available electroless copper bath for the plating, followed by annealing at 180 C for 1 hour, the shear strength of the copper-copper bond was approximately 165 MPa. This work resulted in many significant conclusions about the mechanism for bonding in the all-copper process and the significance of materials and geometry on the mechanical design for these connections.Ph.D.Committee Chair: Kohl, Paul; Committee Member: Bidstrup Allen, Sue Ann; Committee Member: Fuller, Thomas; Committee Member: Hesketh, Peter; Committee Member: Hess, Dennis; Committee Member: Meindl, Jame
    corecore