26 research outputs found

    Study of High-k Dielectrics and their Interfaces on Semiconductors for Device Applications

    Get PDF
    This thesis has focused on two emerging applications of high-k dielectrics in Metal-Oxide-Semiconductor Field Effect Transistors (MOSFETs) and in Metal-InsulatorSemiconductor High Electron Mobility Transistors (MIS-HEMTs). The key aim has been to propose the best routes for passivation of semiconductor/high-k oxide interfaces by investigating the band alignments and interface properties of several oxides, such as Tm2O3, Ta2O5, ZrO2, Al2O3 and MgO, deposited on different semiconductors: Si, Ge, GaN, InGaAs and InGaSb. The electrical characterisation of fabricated MIS capacitor and (MIS)-HEMT devices have also been performed. Thulium silicate (TmSiO) has been identified as a promising candidate for integration as interfacial layer (IL) in HfO2/TiN MOSFETs. The physical properties of Tm2O3/IL/Si interface have been elucidated, where IL (TmSiO) has been formed using different post-deposition annealing (PDA) temperatures, from 550 to 750 ยฐC. It has been found that the best-scaled stack (sub-nm IL) is formed at 550 ยฐC PDA with a graded interface layer and a strong SiOx (Si 3+) component. A large valence band offset (VBO) of 2.8 eV and a large conduction band offset (CBO) of 1.9 eV have been derived for Tm2O3/Si by X-ray photoelectron spectroscopy (XPS) and variable angle spectroscopic ellipsometry. Further increase of device performance can be achieved by replacing Si with GaN for high frequency, high power and high-temperature operation. In this thesis, several GaN cleaning procedures have been considered: 30% NH4OH, 20% (NH4)2S, and 37% HCl. It has been found that the HCl treatment shows the lowest oxygen contamination and Garich surface, and hence has been used prior sputtering of Ta2O5, Al2O3, ZrO2 and MgO on GaN. The large VBOs of 1.1 eV and 1.2 eV have been derived for Al2O3 and MgO on GaN respectively, using XPS and Krautโ€™s method; the corresponding CBOs are 2.0 eV and 2.8 eV respectively, taking into account the band gaps of Al2O3 (6.5 eV) and MgO (7.4 eV) determined from XPS O 1s electron energy spectra. The lowest leakage currents were obtained for devices with Al2O3 and MgO, i.e. 5.3 ร—10-6 A/cm2 and 3.2 ร—10-6 A/cm2 at 1 V, respectively in agreement with high band offsets (> 1 eV). Furthermore, the effect of different surface treatments (HCl, O2 plasma and 1-Octadecanethiol (ODT)) prior to atomic layer deposition of Al2O3 on the GaN/AlGaN/GaN heterostructure has been investigated. The MIS-HEMTs fabricated using the low-cost ODT GaN surface treatment have been found to exhibit superior performance for power switching applications such as a low threshold voltage, VT of -12.3 V, hysteresis of 0.12 V, a small subthreshold voltage slope (SS) of 73 mV/dec, and a low density of interface states, Dit of 3.0 x10^12 cm-2eV-1. A comprehensive novel study of HfO2/InGaAs and Al2O3/InGaSb interfaces have also been conducted for use in III-V based MOSFETs. The addition of the plasma H2/TMA/H2 pre-cleaning has been found to be very effective in recovering etch damage on InGaAs, especially for (110) orientation, and led to the improvement of electrical characteristics. Furthermore, the combination of H2 plasma exposure and forming gas anneal yielded significantly improved metrics for Al2O3/InGaSb over the control HCltreated sample, with the 150 W plasma treatment giving both the highest capacitance and the lowest stretch out

    GaN Technology for High Frequency Applications

    Get PDF

    AlGaN/GaN ์ „๋ ฅ์†Œ์ž์˜ ํŠน์„ฑ ํ–ฅ์ƒ์„ ์œ„ํ•œ ์‹๊ฐ๊ณผ ์ ˆ์—ฐ๋ง‰์— ๊ด€ํ•œ ์—ฐ๊ตฌ

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ (๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต ๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2020. 8. ์„œ๊ด‘์„.์ตœ๊ทผ ์—๋„ˆ์ง€ ์œ„๊ธฐ์™€ ํ™˜๊ฒฝ๊ทœ์ œ ๊ฐ•ํ™”, ์นœํ™˜๊ฒฝ ๋…น์ƒ‰์„ฑ์žฅ ๋“ฑ์˜ ์ด์Šˆ๊ฐ€ ๋Œ€๋‘๋˜์–ด ์—๋„ˆ์ง€ ์ ˆ๊ฐ๊ณผ ํ™˜๊ฒฝ ๋ณดํ˜ธ ๋ถ„์•ผ์— IT ๊ธฐ์ˆ ์„ ์ ‘๋ชฉ, ํ™œ์šฉํ•˜๋Š” ๊ทธ๋ฆฐ IT ํŒจ๋Ÿฌ๋‹ค์ž„์ด ๋ถ€๊ฐ๋˜๊ณ  ์žˆ๋‹ค. ํ˜„์žฌ ๊ณ ์œ ๊ฐ€ ํ™˜๊ฒฝ๊ทœ์ œ ๊ฐ•ํ™”์— ๋Œ€์‘ํ•˜๊ธฐ ์œ„ํ•ด ํ•˜์ด๋ธŒ๋ฆฌ๋“œ ์ž๋™์ฐจ, ์ „๊ธฐ์ž๋™์ฐจ ๋“ฑ ์นœํ™˜๊ฒฝ ๋ฏธ๋ž˜ํ˜• ์ž๋™์ฐจ ๊ฐœ๋ฐœ์ด ์š”๊ตฌ๋˜๊ณ  ์žˆ์œผ๋ฉฐ, ์ž๋™์ฐจ์—์„œ ์ „์žฅ๋ถ€ํ’ˆ์ด ์ฐจ์ง€ํ•˜๋Š” ์›๊ฐ€๋น„์ค‘์€ ์•ฝ 40%๊นŒ์ง€ ๋‹ฌํ•  ๊ฒƒ์œผ๋กœ ์ „๋ง๋˜๊ณ  ์ด ์ค‘ ๋ฐ˜๋„์ฒด๊ฐ€ ์ฐจ์ง€ํ•˜๋Š” ๋น„์šฉ์€ ์•ฝ 30% ์ •๋„๋กœ ์ถ”์ •๋œ๋‹ค. ์ด๋Ÿฌํ•œ ์ž๋™์ฐจ ์ „์žฅ๋ถ€ํ’ˆ์—์„œ ์ „๋ ฅ์†Œ์ž๊ฐ€ ํ•ต์‹ฌ๋ถ€ํ’ˆ์œผ๋กœ ์ž๋ฆฌ ์žก์„ ์ „๋ง์ด๋‹ค. ์ง€๊ธˆ๊นŒ์ง€๋Š” ์‹ค๋ฆฌ์ฝ˜ ๊ธฐ๋ฐ˜์˜ ์ „๋ ฅ์†Œ์ž ๊ธฐ์ˆ ์ด ์ „๋ ฅ๋ฐ˜๋„์ฒด ์‹œ์žฅ์˜ ๋Œ€๋ถ€๋ถ„์„ ์ฃผ๋„ํ•˜๊ณ  ์žˆ์ง€๋งŒ ์ „๋ ฅ๊ธฐ๊ธฐ ๋กœ๋“œ๋งต์— ์˜ํ•˜๋ฉด ์ „๋ ฅ๋ฐ€๋„๊ฐ€ ํ•ด๋ฅผ ๊ฑฐ๋“ญํ•˜๋ฉด์„œ ์ง€์†์ ์œผ๋กœ ์ฆ๊ฐ€ํ•˜๊ธฐ ๋•Œ๋ฌธ์— ๋‚ด์—ด, ๋‚ด์••, ์ „๋ ฅ์†์‹ค, ์ „๋ ฅ๋ฐ€๋„ ๋“ฑ์—์„œ ๋‚˜ํƒ€๋‚˜๋Š” ๋งŽ์€ ํ•œ๊ณ„๋ฅผ ๊ฐ€์ง€๊ณ  ์žˆ๋Š” ํ˜„์žฌ์˜ ์‹ค๋ฆฌ์ฝ˜ ๊ธฐ๋ฐ˜ ์ „๋ ฅ์‹œ์Šคํ…œ์€ ํšจ์œจ์ด ๋ˆˆ์— ๋„๊ฒŒ ๊ฐ์†Œํ•  ๊ฒƒ์ด ์ž๋ช…ํ•˜๋ฏ€๋กœ ์ „๋ ฅ์‹œ์Šคํ…œ์˜ ์ „๋ ฅ์ „์†กํšจ์œจ๊ณผ ์‹ ๋ขฐ์„ฑ์˜ ์ค‘์š”์„ฑ์ด ํฌ๊ฒŒ ๋Œ€๋‘๋˜๊ณ  ์žˆ๋‹ค. ์ด ๊ฐ™์€ ์‚ฌํšŒ์  ์š”๊ตฌ๋กœ ๋ณผ ๋•Œ ํ˜„์žฌ์˜ ์‹ค๋ฆฌ์ฝ˜ ์ „๋ ฅ์†Œ์ž์˜ ๊ธฐ์ˆ ์  ํ•œ๊ณ„๋ฅผ ๋›ฐ์–ด๋„˜๋Š” ๊ณ ํšจ์œจ์˜ ์ฐจ์„ธ๋Œ€ ์ „๋ ฅ๋ฐ˜๋„์ฒด ์†Œ์ž์˜ ๊ฐœ๋ฐœ์ด ์‹œ๊ธ‰ํžˆ ์š”๊ตฌ๋˜๋ฉฐ SiC์™€ GaN์™€ ๊ฐ™์€ ๊ด‘๋Œ€์—ญ ๋ฐ˜๋„์ฒด๊ฐ€ ์ฐจ์„ธ๋Œ€ ์ „๋ ฅ๋ฐ˜๋„์ฒด ์†Œ์žฌ๋กœ ์œ ๋ ฅํ•ด์ง€๊ณ  ์žˆ๋‹ค. ๋˜ํ•œ ์ „๋ ฅ์‹œ์Šคํ…œ์—์„œ๋Š” ์‹œ์Šคํ…œ์˜ ์•ˆ์ „์„ฑ๊ณผ ํšŒ๋กœ์˜ ๊ฐ„๋žตํ™”๋ฅผ ์œ„ํ•˜์—ฌ normally-off (์ฆ๊ฐ•ํ˜•) ์ „๋ ฅ์†Œ์ž๊ฐ€ ์š”๊ตฌ๋˜๊ธฐ ๋•Œ๋ฌธ์— normally-off (์ฆ๊ฐ•ํ˜•) GaN ์ „๋ ฅ์†Œ์ž์— ๋Œ€ํ•œ ๊ฐœ๋ฐœ์ด ํ•„์ˆ˜์ ์ด๋‹ค. ๋ณธ ๊ทธ๋ฃน์—์„œ๋Š” gate-recess ๊ณต์ •์„ ์ด์šฉํ•˜์—ฌ normally-off ๋™์ž‘์„ ์‹คํ˜„ํ•˜๋Š” ์—ฐ๊ตฌ๋ฅผ ์ง„ํ–‰ํ•˜์˜€๊ณ , gate-recess ์‹œ ๋ฐœ์ƒํ•˜๋Š” ์‹๊ฐ ๋ฐ๋ฏธ์ง€๋ฅผ ์ค„์ด๊ณ  ์šฐ์ˆ˜ํ•œ ์„ฑ๋Šฅ์˜ ๊ฒŒ์ดํŠธ ์ ˆ์—ฐ๋ง‰์„ ๊ฐœ๋ฐœํ•˜์—ฌ GaN ์ „๋ ฅ ๋ฐ˜๋„์ฒด ์†Œ์ž์˜ ์ „๊ธฐ์  ํŠน์„ฑ ๋ฐ ์‹ ๋ขฐ์„ฑ์„ ๊ฐœ์„ ํ•˜๋Š” ์—ฐ๊ตฌ๋ฅผ ์ง„ํ–‰ํ•˜์˜€๋‹ค. ์‹๊ฐ ์—ฐ๊ตฌ์—์„œ๋Š” ์ตœ์ข…์ ์œผ๋กœ ์…€ํ”„ DC ๋ฐ”์ด์–ด์Šค๊ฐ€ ๋‚ฎ์€ O2, BCl3 ํ”Œ๋ผ์ฆˆ๋งˆ๋ฅผ ์ด์šฉํ•œ atomic layer etching์„ ๊ฐœ๋ฐœํ•˜์˜€๊ณ , ์ด๋ฅผ ํ†ตํ•ด ๊ฑฐ์น ๊ธฐ๊ฐ€ ์ž‘๊ณ  ํ‘œ๋ฉด N vacancy๊ฐ€ ์ ์€ ๊ณ ํ’ˆ์งˆ์˜ (Al)GaN ํ‘œ๋ฉด์„ ์–ป์„ ์ˆ˜ ์žˆ์—ˆ๋‹ค. ๋ฐ•๋ง‰ ์—ฐ๊ตฌ์—์„œ๋Š” Oxide ๋ฐ•๋ง‰ ์ฆ์ฐฉ ์‹œ, (Al)GaN ํ‘œ๋ฉด์— ์ƒ์„ฑ๋˜์–ด ๊ณ„๋ฉด ํŠน์„ฑ์„ ์•…ํ™”์‹œํ‚ค๋Š” Ga2O3 ์ƒ์„ฑ์„ ๋ง‰๊ธฐ์œ„ํ•ด ALD AlN layer๋ฅผ ๊ฐœ๋ฐœ ๋ฐ ์ ์šฉํ•˜์—ฌ ๋ฐ•๋ง‰/(Al)GaN ๊ณ„๋ฉด ํŠน์„ฑ์„ ํ–ฅ์ƒ์‹œ์ผฐ๋‹ค. ์ด๋กœ ์ธํ•ด ์†Œ์ž์˜ ๋™์ž‘์ „๋ฅ˜ ์ฆ๊ฐ€ ๋ฐ Dit ๊ฐ์†Œ ๊ฒฐ๊ณผ๋ฅผ ์–ป์„ ์ˆ˜ ์žˆ์—ˆ๊ณ  ์ŠคํŠธ๋ ˆ์Šค์— ๋”ฐ๋ฅธ ๋ฌธํ„ฑ์ „์•• ์ด๋™ ํŠน์„ฑ์˜ ๊ฐ์†Œ๋กœ ์†Œ์ž์˜ ์‹ ๋ขฐ์„ฑ ๋˜ํ•œ ๊ฐœ์„ ์‹œํ‚ฌ ์ˆ˜ ์žˆ์—ˆ๋‹ค. ์ด๋Š” ํƒ€ ๊ธฐ๊ด€์˜ ๊ฒฐ๊ณผ์™€ ๋น„๊ตํ•ด๋„ ๋’ค๋–จ์–ด์ง€์ง€ ์•Š๋Š” ์šฐ์ˆ˜ํ•œ ํŠน์„ฑ์„ ๋ณด์—ฌ์ฃผ์—ˆ๋‹ค. ๊ฒฐ๋ก ์ ์œผ๋กœ ๋ณธ ์—ฐ๊ตฌ์˜ ์ž‘์€ ํ”Œ๋ผ์ฆˆ๋งˆ ๋ฐ๋ฏธ์ง€๋ฅผ ๊ฐ–๋Š” ์‹๊ฐ๊ณต์ •๊ณผ ๊ณ ํ’ˆ์งˆ ์ ˆ์—ฐ๋ง‰ ๊ฐœ๋ฐœ์„ ํ†ตํ•ด ์šฐ์ˆ˜ํ•œ ํŠน์„ฑ์˜ GaN ์ „๋ ฅ์†Œ์ž๋ฅผ ๊ตฌํ˜„ํ•  ์ˆ˜ ์žˆ์—ˆ๊ณ  ํ–ฅํ›„ ์ฐจ์„ธ๋Œ€ ์ „๋ ฅ์†Œ์ž์— ์ ์šฉ์„ ์œ„ํ•œ ๊ฐ€๋Šฅ์„ฑ์„ ํ™•๋ณดํ•˜์˜€๋‹ค.The Si technology for power devices have already approached its theoretical limitations due to its physical and material properties, despite the considerable efforts such as super junction MOSFET, trench gate, and insulated gate bipolar transistors. To overcome these limitations, many kinds of compound materials such as GaN, GaAs, SiC, Diamond and InP which have larger breakdown voltage and high electron velocity than Si also have been studied as future power devices. GaN has been considered as a breakthrough in power applications due to its high critical electric field, high saturation velocity and high electron mobility compared to Si, GaAs, and SiC. Especially, AlGaN/GaN heterostructure field-effect transistors (HFETs) have been considered as promising candidates for high power and high voltage applications. However, these AlGaN/GaN heterostructure field-effect transistors with the 2DEG are naturally normally-on, which makes the devices difficult to deplete the channel at zero gate bias. Among the various methods for normally-off operation of GaN devices, gate-recess method is a promising method because it can be easier to implement than other approaches and ensure normally-off operation. However, charge trapping at the interface between gate dielectric and (Al)GaN and in the gate dielectric is a big issue for recessed gate MIS-HEMTs. This problem leads to degradation of channel mobility, on-resistance and on-current of the devices. Especially, Vth hysteresis after a positive gate voltage sweep and Vth shift under a gate bias stress are important reliability challenges in gate recessed MIS-HEMTs. The scope of this work is mainly oriented to achieve high quality interface at dielectric/(Al)GaN MIS by studying low damage etching methods and the ALD process of various dielectric layers. In the etching study, various etching methods for normally-off operation have been studied. Also, etching damage was evaluated by various methods such as atomic force microscopy (AFM), photoluminescence (PL) measurements, X-ray photoelectron spectroscopy (XPS) measurements and electrical properties of the recessed schottky devices. Among the etching methods, the ALE shows the smoothest etched surface, the highest PL intensity and N/(Al+Ga) ratio of the etched AlGaN surface and the lowest leakage current of the gate recessed schottky devices. It is suggested that the ALE is a promising etching technique for normally-off gate recessed AlGaN/GaN MIS-FETs. In the study of dielectrics, excellent electrical characteristics and small threshold voltยฌage drift under positive gate bias stress are achieved by employing the SiON interfacial layer. However, considerable threshold voltage drift is observed under the higher positive gate bias stress even at the devices using the SiON interfacial layer. For further improvement of interface and reliability of devices, we develop and optimize an ALD AlN as an interfacial layer to avoid the formation of poor-quality oxide at the dielectric/(Al)GaN interface. We also develop an ALD AlHfON as a bulk layer, which have a high dielectric constant and low leakage current and high breakdown field characteristics. Devices with AlN/AlON/AlHfON layer show smaller I-V hysteresis of ~10 mV than that of devices with AlON/AlHfON layer. The extracted static Ron values of devices with AlN/AlON/AlHfON and AlON/AlHfON are 1.35 and 1.69 mโ„ฆยทcm2, respectively. Besides, the effective mobility, Dit and threshold voltage instability characteristics are all improved by employing the ALD AlN. In conclusion, for high performance and improvement of reliability of normally-off AlGaN/GaN MIS-FETs, this thesis presents an etching technique for low damage etching and high-quality gate dielectric layer and suggests that the ALE and ALD AlN/AlON/AlHfON gate dielectric are very promising for the future normally-off AlGaN/GaN MIS-FETsChapter 1. Introduction 1 1.1. Backgrounds 1 1.2. Normally-off Operation in AlGaN/GaN HFETs 3 1.3. Issues and Feasible Strategies in AlGaN/GaN MIS-HFETs 11 1.4. Research Aims 15 1.5. References 17 Chapter 2. Development and Evaluation of Low Damage Etching processes 22 2.1. Introduction 22 2.2. Various Evaluation Methods of Etching Damage 24 2.3. Low-Damage Dry Etching Methods 29 2.3.1. Inductively Coupled Plasma-Reactive Ion Etching Using BCl3/Cl2 Gas Mixture 29 2.3.2. Digital Etching Using Plasma Asher and HCl 34 2.3.3. Atomic Layer Etching Using Inductively Coupled Plasmaโ€“Reactive Ion Etching System (ICP-RIE) 50 2.4. Conclusion 75 2.5. References 76 Chapter 3. SiON/HfON Gate Dielectric Layer by ALD for AlGaN/GaN MIS-FETs 80 3.1. Introduction 80 3.2. ALD Processes for SiON and HfON 83 3.3. Electrical Characteristics of ALD SiON, HfON and SiON/HfON Dual Layer on n-GaN 87 3.4. Device Characteristics of Normally-off AlGaN/GaN MIS-FETs with SiON/HfON Dual Layer 95 3.5. Conclusion 113 3.6. References 114 Chapter 4. High Quality AlN/AlON/AlHfON Gate Dielectric Layer by ALD for AlGaN/GaN MIS-FETs 120 4.1. Introduction 120 4.2. Development of ALD AlN/AlON/AlHfON Gate Stack 122 4.2.1. Process Optimization for ALD AlN 122 4.2.2. ALD AlN as an Interfacial Layer 144 4.2.3. Thickness Optimization of AlN/AlON/ AlHfON Layer 149 4.2.4. ALD AlHfON Optimization 159 4.2.5. Material Characteristics of AlN/AlON/AlHfON Layer 167 4.3. Device Characteristics of Normally-off AlGaN/GaN MIS-FETs with AlN/AlON/AlHfON Layer 171 4.4. Conclusion 182 4.5. References 183 Chapter 5. Concluding Remarks 188 Appendix. 190 A. N2 Plasma Treatment Before Dielectric Deposition 190 B. Tri-gate Normally-on/off AlGaN/GaN MIS-FETs 200 C. AlGaN/GaN Diode with MIS-gated Hybrid Anode and Edge termination 214 Abstract in Korean 219 Research Achievements 221Docto

    Feature Papers in Electronic Materials Section

    Get PDF
    This book entitled "Feature Papers in Electronic Materials Section" is a collection of selected papers recently published on the journal Materials, focusing on the latest advances in electronic materials and devices in different fields (e.g., power- and high-frequency electronics, optoelectronic devices, detectors, etc.). In the first part of the book, many articles are dedicated to wide band gap semiconductors (e.g., SiC, GaN, Ga2O3, diamond), focusing on the current relevant materials and devices technology issues. The second part of the book is a miscellaneous of other electronics materials for various applications, including two-dimensional materials for optoelectronic and high-frequency devices. Finally, some recent advances in materials and flexible sensors for bioelectronics and medical applications are presented at the end of the book

    Leakage current in AlGaN Schottky diode in terms of the phonon-assisted tunneling model

    Get PDF
    The leakage current in the AlGaN Schottky diode under a reverse bias is simulated and compared within the frameworks of the thermionic emissionโ€“diffusion and phonon-assisted tunneling models. It is shown that the phonon-assisted tunneling model is suitable to describe the reverse-bias characteristic of the AlGaN Schottky contact and can also be applied to calculate the gate leakage current in the AlGaN/GaN high electron mobility transistor

    Accurate band alignment of sputtered Sc<sub>2</sub>O<sub>3</sub> on GaN for high electron mobility transistor applications

    Get PDF
    Abstract Sc2O3 is a promising gate dielectric for surface passivation in GaN-based devices. However, the interface quality and band alignment of sputtered Sc2O3 on GaN has not been fully explored. In this work, x-ray photoelectron spectroscopy (XPS) and variable angle spectroscopic ellipsometry were performed to extract the discontinuities in the valence and conduction bands of the Sc2O3/GaN system. Sc2O3 films were deposited on GaN using radio frequency sputtering. The valence band offset of Sc2O3/GaN was determined to be 0.76 ยฑ 0.1 eV using Krautโ€™s method. The Sc2O3 band gap of 6.03 ยฑ 0.25 eV was measured using O 1s energy loss spectroscopy. The electron affinity measurements of GaN and Sc2O3 using XPS secondary electron cut-off spectra provided an additional degree of accuracy to the derived band line-up for the Sc2O3/GaN interface. The band alignment results were compared with literature values of band offsets determined experimentally and theoretically for differently grown Sc2O3 films on GaN.</jats:p

    Characterization of Charge Trapping Phenomena in GaN-based HEMTs

    Get PDF
    This dissertation reports on charge-trapping phenomena and related parasitic effects in AlGaN/GaN high electron mobility transistors. By means of static and pulsed I-V measurements and deep-level transient spectroscopy, the main charge-trapping mechanisms affecting the dynamic performance of GaN-based HEMTs devoted to microwave and power switching applications have been comprehensively characterized, identifying the nature and the localization of the deep-levels responsible for the electrically active trap-states. A high-voltage measurement system capable for double-pulsed ID-VD, ID-VG and drain-current transient spectroscopy has been successfully designed and implemented. A characterization methodology, including the analysis of static I-V measurements, pulsed I-V measurements, and deep-level transient spectroscopy, has been developed to investigate the impact of voltage, current, and temperature on the parasitic effects of charge-trapping (threshold voltage instabilities, dynamic on-resistance increase, and transconductance reduction), and on trapping/detrapping kinetics. Experimental results gathered on transistor structures are supported by complementary capacitance deep-level transient spectroscopy (C-DLTS) performed on 2-terminal diode (FATFET) structures. Two main case-studies have been investigated. Schottky-gated AlGaN/GaN HEMTs grown on silicon carbide substrate employing iron and/or carbon doped buffers devoted to microwave applications, and MIS-gated double-heterostructure AlGaN/GaN/AlGaN HEMTs grown on silicon substrate devoted to power switching applications. The devices under test have been exposed to the complete set of current-voltage regimes experienced during the real life operations, including off-state, semi-on-state, and on-state. The main novel results are reported in the following: โ€ข Identification of a charge-trapping mechanism promoted by hot-electrons. This mechanism is critical in semi-on-state, with the combination of relatively high electric-field and relatively high drain-source current. โ€ข Identification of a positive temperature-dependent charge-trapping mechanism localized in the buffer-layer, potentially promoted by the vertical drain to substrate potential. This mechanism is critical in high drain-voltage off-state bias in high temperature operations. โ€ข Identification of deep-levels and charge-trapping related to the presence of doping compensation agents (iron and carbon) within the GaN buffer layer. โ€ข Identification of charge-trapping mechanism ascribed to the SiNX and/or Al2O3 insulating layers of MIS-gated HEMTs. This mechanism is promoted in the on-state with positive gate-voltage and positive gate leakage current. โ€ข Identification of a potential charge-trapping mechanism ascribed to reverse gate leakage current in Schottky-gate HEMTs exposed to high-voltage off-state. โ€ข The characterization of surface-traps in ungated and unpassivated devices by means of drain-current transient spectroscopy reveals a non-exponential and weakly thermally-activated detrapping behaviour. โ€ข Preliminary synthesis of a degradation mechanism characterized by the generation of defect-states, the worsening of parasitic charge-trapping effects, and the degradation of rf performance of AlGaN/GaN HEMTs devoted to microwave operations. The evidence of this degradation mechanism is appreciable only by means of rf or pulsed I-V measurements: no apparent degradation is found by means of DC analysis
    corecore