409 research outputs found

    Molecular Beam Deposition (MBD) and Characterisation of High-k Material as Alternative Gate Oxides for MOS-Technology

    Get PDF
    Until now the forecast of the Semmiconductors Industry Association (SIA) concerning the dimension shrinking and the performance improvement of the electrical devices, reported in the International Technology Roadmap for Semiconductors (ITRS), matched very precisely the development of semiconductor process technology. But today the traditional scaling is indeed approaching the fundamental limits of the materials consituting the building blocks of the CMOS process. A big and unresolved challenge in the traditional process shrinking approach is the gate insulator. To be able to follow the dimension shrinking according to the ITRS, the SiO2 film thickness should become below 1nm within the next three years. This thickness corresponds to few atomic layers, which means that the direct tunnel leakage current through the insulator will increase. The high leakage current and the inadequate reliability for a SiO2 layer of less than 1.5nm thickness require a replacement for SiO2. To obtain high gate capacitance and inhibit tunneling, relative thick insulator of high dielectric constant (high-k) are needed to replace silicon dioxide (SiO2) as gate oxide. Therefore new materials have to be introduced into the basic CMOS structure to replace the existing ones to further extend device scaling and the reduction of the produciont costs. The present research thesis focuses on the proposition and investigation of three alternative gate oxide systems: aluminium-, praseodymium- and lanthanum oxide (Al2O3, Pr2O3 and La2O3 respectively). For each one of these systems, the growth process by Molecular Beam Deposition (MBD) has been optimised and electrical and physical characterisation has been performed to gain a better understanding of important factors associated with alternative gate dielectrics form both a theoretical and experimental point of view. Moreover, the optimisation of the interface between gate dielectric and the silicon substrate is taken into account during the development of the deposition processes. The first part of the thesis concerns the aluminium oxide. Aluminium oxide (Al2O3) is one of the first systems which have been studied to replace silicon dioxide as gate dielectric because of its large barrier height, dielectric constant twice that of SiO2, high stability and robustness. The basic properties of Al2O3 films grown on silicon substrate are well understood and for this reason alumina can be used as reference to investigate on new materials for alternative gate oxide. Beyond the aluminium oxide, lanthanide oxides have been considered as long term solution to the high-k question. In particular preseodymium oxide (Pr2O3) and lanthanum oxide (La2O3) have attracted the attention because of their high dielectric constant (20-30) and thermal stability on silicon substrate until 1000K. The properties of thin lanthanide oxide films as dielectric system for microelectronic applications are not yet completely known ind intensive research is running to find out if this dielectric will cover all the requirements needed for the new gate oxide material. In particular the major drawback of lanthanide oxide is given by its high sensibility to humidity, which leads to degradation of the dielectric film. This thesis will try to give an answer to the open questions on the investigated materials and will show the direction for future investigations

    Non-Silicon MOSFETs and Circuits with Atomic Layer Deposited Higher-k Dielectrics

    Get PDF
    The quest for technologies beyond 14nm node complementary metal-oxide-semiconductor (CMOS) devices has now called for research on higher-k gate dielectrics integration with high mobility channel materials such as III-V semiconductors and germanium. Ternary oxides, such as La2-xYxO3 and LaAlO3, have been considered as strong candidates due to their high dielectric constants and good thermal stability. Meanwhile, the unique abilities of delivering large area uniform thin film, excellent controlling of composition and thickness to an atomic level, which are keys to ultra-scaled devices, have made atomic layer deposition (ALD) technique an excellent choice. In this thesis, we systematically study the atomic layer epitaxy (ALE) process realized by ALD, ALE higher-k dielectric integration, GaAs nMOSFETs and pMOSFETs on (111)A substrates, and their related CMOS digital logic gate circuits as well as ring oscillators. A record high drain current of 376 mA/mm and a small SS of 74 mV/dec are obtained from planar GaAs nMOSFETs with 1μm gate length. La2-xYxO3/GaAs(111)A interfaces are systematically investigated in both material and electrical aspects. The work has expanded the near 50 years GaAs MOSFETs research to an unprecedented level. Following the GaAs work, Ge n- and p-MOSFETs with epitaxial interfaces are also fabricated and studied. Beyond the conventional semiconductors, the complex oxide channel material SrTiO3 is also explored. Well-behaved LaAlO3/SrTiO3 nMOSFETs with a conducting channel at insulating ALD amorphous LaAlO3 - insulating crystalline SrTiO3 interface are also demonstrated

    Wet Thermal Oxidation of GaAs and GaN

    Get PDF

    Surface morphology of DyxOy films grown on Si

    Get PDF
    The crystalline structure and surface morphology of DyxOy dielectric films grown on Si substrates were studied by grazing incidence diffraction and absorption with use of synchrotron radiation and by atomic force microscopy. The crystalline structure and the roughness of DyxOy films were found to be strongly dependent on the deposition rate. The dielectric-silicon interface depends on the type of gas used in the annealing process. Moreover. results from the near edge X-ray absorption studies, have revealed that none of the examined films has a stoichiometry close to the Dy2O3. The level of stoichiometry is determined by the technological conditions. Nevertheless, MOS structures with Dy(x)Q(y) films (EOT similar to 23 angstrom) have shown a rather good DyxOy-Si interface properties, which can be further improve by thermal annealing, and introducing of several additives, therefore DyxOy films can be considered as suitable candidates for gate dielectric in MOS devices. (c) 2006 Elsevier B.V. All rights reserved

    Deposition of binary and ternary oxide thin films of trivalent metals by atomic layer epitaxy

    Get PDF
    The atomic layer epitaxy (ALE) technique was used to grow thin films of binary metal oxides Al2O3, Ga2O3 and La2O3, and ternary metal oxides LaNiO3, LaCoO3, LaAlO3, and LaGaO3. In addition, another type of mixed-oxide, viz. phosphorus-doped Al2O3 was studied. The binary oxides Ga2O3 and La2O3 and all the ternary oxides were deposited by the ALE method for the first time. New ALE processes were developed for the undoped and doped Al2O3 films. The thin films were characterized by a wide range of methods for structural and surface analysis, including XRD, FTIR, XPS, AFM, XRF, RBS, TOF-ERDA, and SIMS. A review of previous work on these trivalent metal oxide thin films is presented by way of background. The Al2O3 and Ga2O3 films deposited from metal beta-diketonates and ozone were of high quality: stoichiometric, uniform, dense, and free of any significant contamination. However, the La2O3 films contained an excess of oxygen, due to the carbonate-type impurity that was detected. All Al2O3 and Ga2O3 films were amorphous, but polycrystalline, cubic La2O3 was formed at temperatures above 300 °C. Hexagonal La2O3 film was obtained by annealing the as-deposited amorphous and cubic La2O3 films. Simultaneously, the carbon content in the films was reduced. In contrast to the stable Al2O3 and Ga2O3 films, the cubic and hexagonal La2O3 films were chemically unstable and reacted with ambient air, transforming to LaO(OH) and La(OH)3, respectively. The perovskite-type oxides LaNiO3, LaCoO3, LaAlO3, and LaGaO3 were deposited using metal beta-diketonates and ozone as precursors. No optimal ALE process could be demonstrated for the LaNiO3 and LaCoO3 films, which were non-uniform in thickness and either consisted of separate oxide layers or contained an excess of the transition metal. The LaAlO3 and LaGaO3 film growth, in contrast, was well-controlled yielding stoichiometric, uniform, and smooth films, demonstrating the potential of the ALE technique for producing the more complex ternary oxide films. All the as-deposited ternary oxide films were amorphous but crystallized with cubic structure when post-annealed ex situ. After annealing high-quality, epitaxial LaAlO3 and LaGaO3 films were obtained on lattice-matched perovskite-type substrates while randomly or slightly oriented films were obtained on non-lattice matched substrates. The films were relatively pure and only small amounts of common impurities, carbon and hydrogen, were detected. Phosphorus-doped Al2O3 films were deposited from AlCl3, P2O5 or trimethylphosphate, and water. The phosphorus content could be controlled by the phosphorus doping ratio: when the P/Al atomic ratio was below 1.0, the films consisted of both Al2O3 and AlPO4, whereas above that they contained predominantly AlPO4. Phosphorus was uniformly distributed when the phosphorus content exceeded 5 at.%, and it was enriched on the film/substrate interface when the content was lower.reviewe

    Rare Earth Silicate Formation: A Route Towards High-k for the 22 nm Node and Beyond, Journal of Telecommunications and Information Technology, 2009, nr 4

    Get PDF
    Over the last decade there has been a significant amount of research dedicated to finding a suitable high-k/metal gate stack to replace conventional SiON/poly-Si electrodes. Materials innovations and dedicated engineering work has enabled the transition from research lab to 300 mm production a reality, thereby making high-k/metal gate technology a pathway for continued transistor scaling. In this paper, we will present current status and trends in rare earthbased materials innovations; in particular Gd-based, for the high-k/metal gate technology in the 22 nm node. Key issues and challenges for the 22 nm node and beyond are also highlighted

    A-site acceptor doped LaNbO4 thin film formation and structural investigation

    Get PDF
    In this paper, doped La1-xAxNbO4 (A = Ca, Mg) thin films were formed using electron beam vapor deposition. The influence of the doping concentration of A site dopants (A = Ca, Mg) on the thin ceramics surface microstructure, morphology and electrical properties, including the charge carrier mobility and diffusion coefficient, was studied. It was found that the formed thin films are dense (>96 %) and have homogenous nanocrystalline structure composed of the tetragonal LaNbO4 phase. The total conductivity of the formed thin films is in 10-3 S/cm range for Ca-doped LaNbO4 and 10-4 S/cm range for Mg-doped LaNbO4 at 800 °C under wet H2 reducing atmosphere. The nature of protonic conduction was confirmed by the isotopic effect. The calculated ΔHmob,H is 57 kJ/mol at 650 °C for the La0.995Ca0.005NbO4 film, which total conductivity was highest in the present study (9.52∙10-3 S/cm at 800 °C under wet H2 reducing atmosphere). ΔHmob,H increases steadily with increasing the dopants’ concentration from 57 kJ/mol to 84 kJ/mol. The charge mobility decreases from 2.32×10-5 cm2/V∙s to 6.25×10-7 cm2/V∙s as the dopants’ concentration increases at 650 °C

    Study of High-k Dielectrics and their Interfaces on Semiconductors for Device Applications

    Get PDF
    This thesis has focused on two emerging applications of high-k dielectrics in Metal-Oxide-Semiconductor Field Effect Transistors (MOSFETs) and in Metal-InsulatorSemiconductor High Electron Mobility Transistors (MIS-HEMTs). The key aim has been to propose the best routes for passivation of semiconductor/high-k oxide interfaces by investigating the band alignments and interface properties of several oxides, such as Tm2O3, Ta2O5, ZrO2, Al2O3 and MgO, deposited on different semiconductors: Si, Ge, GaN, InGaAs and InGaSb. The electrical characterisation of fabricated MIS capacitor and (MIS)-HEMT devices have also been performed. Thulium silicate (TmSiO) has been identified as a promising candidate for integration as interfacial layer (IL) in HfO2/TiN MOSFETs. The physical properties of Tm2O3/IL/Si interface have been elucidated, where IL (TmSiO) has been formed using different post-deposition annealing (PDA) temperatures, from 550 to 750 °C. It has been found that the best-scaled stack (sub-nm IL) is formed at 550 °C PDA with a graded interface layer and a strong SiOx (Si 3+) component. A large valence band offset (VBO) of 2.8 eV and a large conduction band offset (CBO) of 1.9 eV have been derived for Tm2O3/Si by X-ray photoelectron spectroscopy (XPS) and variable angle spectroscopic ellipsometry. Further increase of device performance can be achieved by replacing Si with GaN for high frequency, high power and high-temperature operation. In this thesis, several GaN cleaning procedures have been considered: 30% NH4OH, 20% (NH4)2S, and 37% HCl. It has been found that the HCl treatment shows the lowest oxygen contamination and Garich surface, and hence has been used prior sputtering of Ta2O5, Al2O3, ZrO2 and MgO on GaN. The large VBOs of 1.1 eV and 1.2 eV have been derived for Al2O3 and MgO on GaN respectively, using XPS and Kraut’s method; the corresponding CBOs are 2.0 eV and 2.8 eV respectively, taking into account the band gaps of Al2O3 (6.5 eV) and MgO (7.4 eV) determined from XPS O 1s electron energy spectra. The lowest leakage currents were obtained for devices with Al2O3 and MgO, i.e. 5.3 ×10-6 A/cm2 and 3.2 ×10-6 A/cm2 at 1 V, respectively in agreement with high band offsets (> 1 eV). Furthermore, the effect of different surface treatments (HCl, O2 plasma and 1-Octadecanethiol (ODT)) prior to atomic layer deposition of Al2O3 on the GaN/AlGaN/GaN heterostructure has been investigated. The MIS-HEMTs fabricated using the low-cost ODT GaN surface treatment have been found to exhibit superior performance for power switching applications such as a low threshold voltage, VT of -12.3 V, hysteresis of 0.12 V, a small subthreshold voltage slope (SS) of 73 mV/dec, and a low density of interface states, Dit of 3.0 x10^12 cm-2eV-1. A comprehensive novel study of HfO2/InGaAs and Al2O3/InGaSb interfaces have also been conducted for use in III-V based MOSFETs. The addition of the plasma H2/TMA/H2 pre-cleaning has been found to be very effective in recovering etch damage on InGaAs, especially for (110) orientation, and led to the improvement of electrical characteristics. Furthermore, the combination of H2 plasma exposure and forming gas anneal yielded significantly improved metrics for Al2O3/InGaSb over the control HCltreated sample, with the 150 W plasma treatment giving both the highest capacitance and the lowest stretch out

    Thermally stable amorphous tantalum yttrium oxide with low IR absorption for magnetophotonic devices

    Get PDF
    Thin film oxide materials often require thermal treatment at high temperature during their preparation, which can limit them from being integrated in a range of microelectronic or optical devices and applications. For instance, it has been a challenge to retain the optical properties of Bragg mirrors in optical systems at temperatures above 700 °C because of changes in the crystalline structure of the high-refractive-index component. In this study, a ~100 nm-thick amorphous film of tantalum oxide and yttrium oxide with an yttrium-to-tantalum atomic fraction of 14% was prepared by magnetron sputtering. The film demonstrated high resistance to annealing above 850 °C without degradation of its optical properties. The electronic and crystalline structures, stoichiometry, optical properties, and integration with magnetooptical materials are discussed. The film was incorporated into Bragg mirrors used with iron garnet microcavities, and it contributed to an order-of-magnitude enhancement of the magnetooptical figure of merit at near-infrared wavelengths.National Science Foundation (U.S.) (Award ECCS-1607865
    corecore