18 research outputs found

    Analysis and Design of Energy Efficient Frequency Synthesizers for Wireless Integrated Systems

    Full text link
    Advances in ultra-low power (ULP) circuit technologies are expanding the IoT applications in our daily life. However, wireless connectivity, small form factor and long lifetime are still the key constraints for many envisioned wearable, implantable and maintenance-free monitoring systems to be practically deployed at a large scale. The frequency synthesizer is one of the most power hungry and complicated blocks that not only constraints RF performance but also offers subtle scalability with power as well. Furthermore, the only indispensable off-chip component, the crystal oscillator, is also associated with the frequency synthesizer as a reference. This thesis addresses the above issues by analyzing how phase noise of the LO affect the frequency modulated wireless system in different aspects and how different noise sources in the PLL affect the performance. Several chip prototypes have been demonstrated including: 1) An ULP FSK transmitter with SAR assisted FLL; 2) A ring oscillator based all-digital BLE transmitter utilizing a quarter RF frequency LO and 4X frequency multiplier; and 3) An XO-less BLE transmitter with an RF reference recovery receiver. The first 2 designs deal with noise sources in the PLL loop for ultimate power and cost reduction, while the third design deals with the reference noise outside the PLL and explores a way to replace the XO in ULP wireless edge nodes. And at last, a comprehensive PN theory is proposed as the design guideline.PHDElectrical EngineeringUniversity of Michigan, Horace H. Rackham School of Graduate Studieshttps://deepblue.lib.umich.edu/bitstream/2027.42/153420/1/chenxing_1.pd

    Transceiver architectures and sub-mW fast frequency-hopping synthesizers for ultra-low power WSNs

    Get PDF
    Wireless sensor networks (WSN) have the potential to become the third wireless revolution after wireless voice networks in the 80s and wireless data networks in the late 90s. This revolution will finally connect together the physical world of the human and the virtual world of the electronic devices. Though in the recent years large progress in power consumption reduction has been made in the wireless arena in order to increase the battery life, this is still not enough to achieve a wide adoption of this technology. Indeed, while nowadays consumers are used to charge batteries in laptops, mobile phones and other high-tech products, this operation becomes infeasible when scaled up to large industrial, enterprise or home networks composed of thousands of wireless nodes. Wireless sensor networks come as a new way to connect electronic equipments reducing, in this way, the costs associated with the installation and maintenance of large wired networks. To accomplish this task, it is necessary to reduce the energy consumption of the wireless node to a point where energy harvesting becomes feasible and the node energy autonomy exceeds the life time of the wireless node itself. This thesis focuses on the radio design, which is the backbone of any wireless node. A common approach to radio design for WSNs is to start from a very simple radio (like an RFID) adding more functionalities up to the point in which the power budget is reached. In this way, the robustness of the wireless link is traded off for power reducing the range of applications that can draw benefit form a WSN. In this thesis, we propose a novel approach to the radio design for WSNs. We started from a proven architecture like Bluetooth, and progressively we removed all the functionalities that are not required for WSNs. The robustness of the wireless link is guaranteed by using a fast frequency hopping spread spectrum technique while the power budget is achieved by optimizing the radio architecture and the frequency hopping synthesizer Two different radio architectures and a novel fast frequency hopping synthesizer are proposed that cover the large space of applications for WSNs. The two architectures make use of the peculiarities of each scenario and, together with a novel fast frequency hopping synthesizer, proved that spread spectrum techniques can be used also in severely power constrained scenarios like WSNs. This solution opens a new window toward a radio design, which ultimately trades off flexibility, rather than robustness, for power consumption. In this way, we broadened the range of applications for WSNs to areas in which security and reliability of the communication link are mandatory

    ๊ณ ์† ์‹œ๋ฆฌ์–ผ ๋งํฌ๋ฅผ ์œ„ํ•œ ๊ณ ๋ฆฌ ๋ฐœ์ง„๊ธฐ๋ฅผ ๊ธฐ๋ฐ˜์œผ๋กœ ํ•˜๋Š” ์ฃผํŒŒ์ˆ˜ ํ•ฉ์„ฑ๊ธฐ

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2022. 8. ์ •๋•๊ท .In this dissertation, major concerns in the clocking of modern serial links are discussed. As sub-rate, multi-standard architectures are becoming predominant, the conventional clocking methodology seems to necessitate innovation in terms of low-cost implementation. Frequency synthesis with active, inductor-less oscillators replacing LC counterparts are reviewed, and solutions for two major drawbacks are proposed. Each solution is verified by prototype chip design, giving a possibility that the inductor-less oscillator may become a proper candidate for future high-speed serial links. To mitigate the high flicker noise of a high-frequency ring oscillator (RO), a reference multiplication technique that effectively extends the bandwidth of the following all-digital phase-locked loop (ADPLL) is proposed. The technique avoids any jitter accumulation, generating a clean mid-frequency clock, overall achieving high jitter performance in conjunction with the ADPLL. Timing constraint for the proper reference multiplication is first analyzed to determine the calibration points that may correct the existent phase errors. The weight for each calibration point is updated by the proposed a priori probability-based least-mean-square (LMS) algorithm. To minimize the time required for the calibration, each gain for the weight update is adaptively varied by deducing a posteriori which error source dominates the others. The prototype chip is fabricated in a 40-nm CMOS technology, and its measurement results verify the low-jitter, high-frequency clock generation with fast calibration settling. The presented work achieves an rms jitter of 177/223 fs at 8/16-GHz output, consuming 12.1/17-mW power. As the second embodiment, an RO-based ADPLL with an analog technique that addresses the high supply sensitivity of the RO is presented. Unlike prior arts, the circuit for the proposed technique does not extort the RO voltage headroom, allowing high-frequency oscillation. Further, the performance given from the technique is robust over process, voltage, and temperature (PVT) variations, avoiding the use of additional calibration hardware. Lastly, a comprehensive analysis of phase noise contribution is conducted for the overall ADPLL, followed by circuit optimizations, to retain the low-jitter output. Implemented in a 40-nm CMOS technology, the frequency synthesizer achieves an rms jitter of 289 fs at 8 GHz output without any injected supply noise. Under a 20-mVrms white supply noise, the ADPLL suppresses supply-noise-induced jitter by -23.8 dB.๋ณธ ๋…ผ๋ฌธ์€ ํ˜„๋Œ€ ์‹œ๋ฆฌ์–ผ ๋งํฌ์˜ ํด๋ฝํ‚น์— ๊ด€์—ฌ๋˜๋Š” ์ฃผ์š”ํ•œ ๋ฌธ์ œ๋“ค์— ๋Œ€ํ•˜์—ฌ ๊ธฐ์ˆ ํ•œ๋‹ค. ์ค€์†๋„, ๋‹ค์ค‘ ํ‘œ์ค€ ๊ตฌ์กฐ๋“ค์ด ์ฑ„ํƒ๋˜๊ณ  ์žˆ๋Š” ์ถ”์„ธ์— ๋”ฐ๋ผ, ๊ธฐ์กด์˜ ํด๋ผํ‚น ๋ฐฉ๋ฒ•์€ ๋‚ฎ์€ ๋น„์šฉ์˜ ๊ตฌํ˜„์˜ ๊ด€์ ์—์„œ ์ƒˆ๋กœ์šด ํ˜์‹ ์„ ํ•„์š”๋กœ ํ•œ๋‹ค. LC ๊ณต์ง„๊ธฐ๋ฅผ ๋Œ€์‹ ํ•˜์—ฌ ๋Šฅ๋™ ์†Œ์ž ๋ฐœ์ง„๊ธฐ๋ฅผ ์‚ฌ์šฉํ•œ ์ฃผํŒŒ์ˆ˜ ํ•ฉ์„ฑ์— ๋Œ€ํ•˜์—ฌ ์•Œ์•„๋ณด๊ณ , ์ด์— ๋ฐœ์ƒํ•˜๋Š” ๋‘๊ฐ€์ง€ ์ฃผ์š” ๋ฌธ์ œ์ ๊ณผ ๊ฐ๊ฐ์— ๋Œ€ํ•œ ํ•ด๊ฒฐ ๋ฐฉ์•ˆ์„ ํƒ์ƒ‰ํ•œ๋‹ค. ๊ฐ ์ œ์•ˆ ๋ฐฉ๋ฒ•์„ ํ”„๋กœํ† ํƒ€์ž… ์นฉ์„ ํ†ตํ•ด ๊ทธ ํšจ์šฉ์„ฑ์„ ๊ฒ€์ฆํ•˜๊ณ , ์ด์–ด์„œ ๋Šฅ๋™ ์†Œ์ž ๋ฐœ์ง„๊ธฐ๊ฐ€ ๋ฏธ๋ž˜์˜ ๊ณ ์† ์‹œ๋ฆฌ์–ผ ๋งํฌ์˜ ํด๋ฝํ‚น์— ์‚ฌ์šฉ๋  ๊ฐ€๋Šฅ์„ฑ์— ๋Œ€ํ•ด ๊ฒ€ํ† ํ•œ๋‹ค. ์ฒซ๋ฒˆ์งธ ์‹œ์—ฐ์œผ๋กœ์จ, ๊ณ ์ฃผํŒŒ ๊ณ ๋ฆฌ ๋ฐœ์ง„๊ธฐ์˜ ๋†’์€ ํ”Œ๋ฆฌ์ปค ์žก์Œ์„ ์™„ํ™”์‹œํ‚ค๊ธฐ ์œ„ํ•ด ๊ธฐ์ค€ ์‹ ํ˜ธ๋ฅผ ๋ฐฐ์ˆ˜ํ™”ํ•˜์—ฌ ๋’ท๋‹จ์˜ ์œ„์ƒ ๊ณ ์ • ๋ฃจํ”„์˜ ๋Œ€์—ญํญ์„ ํšจ๊ณผ์ ์œผ๋กœ ๊ทน๋Œ€ํ™” ์‹œํ‚ค๋Š” ํšŒ๋กœ ๊ธฐ์ˆ ์„ ์ œ์•ˆํ•œ๋‹ค. ๋ณธ ๊ธฐ์ˆ ์€ ์ง€ํ„ฐ๋ฅผ ๋ˆ„์  ์‹œํ‚ค์ง€ ์•Š์œผ๋ฉฐ ๋”ฐ๋ผ์„œ ๊นจ๋—ํ•œ ์ค‘๊ฐ„ ์ฃผํŒŒ์ˆ˜ ํด๋ฝ์„ ์ƒ์„ฑ์‹œ์ผœ ์œ„์ƒ ๊ณ ์ • ๋ฃจํ”„์™€ ํ•จ๊ป˜ ๋†’์€ ์„ฑ๋Šฅ์˜ ๊ณ ์ฃผํŒŒ ํด๋ฝ์„ ํ•ฉ์„ฑํ•œ๋‹ค. ๊ธฐ์ค€ ์‹ ํ˜ธ๋ฅผ ์„ฑ๊ณต์ ์œผ๋กœ ๋ฐฐ์ˆ˜ํ™”ํ•˜๊ธฐ ์œ„ํ•œ ํƒ€์ด๋ฐ ์กฐ๊ฑด๋“ค์„ ๋จผ์ € ๋ถ„์„ํ•˜์—ฌ ํƒ€์ด๋ฐ ์˜ค๋ฅ˜๋ฅผ ์ œ๊ฑฐํ•˜๊ธฐ ์œ„ํ•œ ๋ฐฉ๋ฒ•๋ก ์„ ํŒŒ์•…ํ•œ๋‹ค. ๊ฐ ๊ต์ • ์ค‘๋Ÿ‰์€ ์—ฐ์—ญ์  ํ™•๋ฅ ์„ ๊ธฐ๋ฐ˜์œผ๋กœํ•œ LMS ์•Œ๊ณ ๋ฆฌ์ฆ˜์„ ํ†ตํ•ด ๊ฐฑ์‹ ๋˜๋„๋ก ์„ค๊ณ„๋œ๋‹ค. ๊ต์ •์— ํ•„์š”ํ•œ ์‹œ๊ฐ„์„ ์ตœ์†Œํ™” ํ•˜๊ธฐ ์œ„ํ•˜์—ฌ, ๊ฐ ๊ต์ • ์ด๋“์€ ํƒ€์ด๋ฐ ์˜ค๋ฅ˜ ๊ทผ์›๋“ค์˜ ํฌ๊ธฐ๋ฅผ ๊ท€๋‚ฉ์ ์œผ๋กœ ์ถ”๋ก ํ•œ ๊ฐ’์„ ๋ฐ”ํƒ•์œผ๋กœ ์ง€์†์ ์œผ๋กœ ์ œ์–ด๋œ๋‹ค. 40-nm CMOS ๊ณต์ •์œผ๋กœ ๊ตฌํ˜„๋œ ํ”„๋กœํ† ํƒ€์ž… ์นฉ์˜ ์ธก์ •์„ ํ†ตํ•ด ์ €์†Œ์Œ, ๊ณ ์ฃผํŒŒ ํด๋ฝ์„ ๋น ๋ฅธ ๊ต์ • ์‹œ๊ฐ„์•ˆ์— ํ•ฉ์„ฑํ•ด ๋ƒ„์„ ํ™•์ธํ•˜์˜€๋‹ค. ์ด๋Š” 177/223 fs์˜ rms ์ง€ํ„ฐ๋ฅผ ๊ฐ€์ง€๋Š” 8/16 GHz์˜ ํด๋ฝ์„ ์ถœ๋ ฅํ•œ๋‹ค. ๋‘๋ฒˆ์งธ ์‹œ์—ฐ์œผ๋กœ์จ, ๊ณ ๋ฆฌ ๋ฐœ์ง„๊ธฐ์˜ ๋†’์€ ์ „์› ๋…ธ์ด์ฆˆ ์˜์กด์„ฑ์„ ์™„ํ™”์‹œํ‚ค๋Š” ๊ธฐ์ˆ ์ด ํฌํ•จ๋œ ์ฃผํŒŒ์ˆ˜ ํ•ฉ์„ฑ๊ธฐ๊ฐ€ ์„ค๊ณ„๋˜์—ˆ๋‹ค. ์ด๋Š” ๊ณ ๋ฆฌ ๋ฐœ์ง„๊ธฐ์˜ ์ „์•• ํ—ค๋“œ๋ฃธ์„ ๋ณด์กดํ•จ์œผ๋กœ์„œ ๊ณ ์ฃผํŒŒ ๋ฐœ์ง„์„ ๊ฐ€๋Šฅํ•˜๊ฒŒ ํ•œ๋‹ค. ๋‚˜์•„๊ฐ€, ์ „์› ๋…ธ์ด์ฆˆ ๊ฐ์†Œ ์„ฑ๋Šฅ์€ ๊ณต์ •, ์ „์••, ์˜จ๋„ ๋ณ€๋™์— ๋Œ€ํ•˜์—ฌ ๋ฏผ๊ฐํ•˜์ง€ ์•Š์œผ๋ฉฐ, ๋”ฐ๋ผ์„œ ์ถ”๊ฐ€์ ์ธ ๊ต์ • ํšŒ๋กœ๋ฅผ ํ•„์š”๋กœ ํ•˜์ง€ ์•Š๋Š”๋‹ค. ๋งˆ์ง€๋ง‰์œผ๋กœ, ์œ„์ƒ ๋…ธ์ด์ฆˆ์— ๋Œ€ํ•œ ํฌ๊ด„์  ๋ถ„์„๊ณผ ํšŒ๋กœ ์ตœ์ ํ™”๋ฅผ ํ†ตํ•˜์—ฌ ์ฃผํŒŒ์ˆ˜ ํ•ฉ์„ฑ๊ธฐ์˜ ์ €์žก์Œ ์ถœ๋ ฅ์„ ๋ฐฉํ•ดํ•˜์ง€ ์•Š๋Š” ๋ฐฉ๋ฒ•์„ ๊ณ ์•ˆํ•˜์˜€๋‹ค. ํ•ด๋‹น ํ”„๋กœํ† ํƒ€์ž… ์นฉ์€ 40-nm CMOS ๊ณต์ •์œผ๋กœ ๊ตฌํ˜„๋˜์—ˆ์œผ๋ฉฐ, ์ „์› ๋…ธ์ด์ฆˆ๊ฐ€ ์ธ๊ฐ€๋˜์ง€ ์•Š์€ ์ƒํƒœ์—์„œ 289 fs์˜ rms ์ง€ํ„ฐ๋ฅผ ๊ฐ€์ง€๋Š” 8 GHz์˜ ํด๋ฝ์„ ์ถœ๋ ฅํ•œ๋‹ค. ๋˜ํ•œ, 20 mVrms์˜ ์ „์› ๋…ธ์ด์ฆˆ๊ฐ€ ์ธ๊ฐ€๋˜์—ˆ์„ ๋•Œ์— ์œ ๋„๋˜๋Š” ์ง€ํ„ฐ์˜ ์–‘์„ -23.8 dB ๋งŒํผ ์ค„์ด๋Š” ๊ฒƒ์„ ํ™•์ธํ•˜์˜€๋‹ค.1 Introduction 1 1.1 Motivation 3 1.1.1 Clocking in High-Speed Serial Links 4 1.1.2 Multi-Phase, High-Frequency Clock Conversion 8 1.2 Dissertation Objectives 10 2 RO-Based High-Frequency Synthesis 12 2.1 Phase-Locked Loop Fundamentals 12 2.2 Toward All-Digital Regime 15 2.3 RO Design Challenges 21 2.3.1 Oscillator Phase Noise 21 2.3.2 Challenge 1: High Flicker Noise 23 2.3.3 Challenge 2: High Supply Noise Sensitivity 26 3 Filtering RO Noise 28 3.1 Introduction 28 3.2 Proposed Reference Octupler 34 3.2.1 Delay Constraint 34 3.2.2 Phase Error Calibration 38 3.2.3 Circuit Implementation 51 3.3 IL-ADPLL Implementation 55 3.4 Measurement Results 59 3.5 Summary 63 4 RO Supply Noise Compensation 69 4.1 Introduction 69 4.2 Proposed Analog Closed Loop for Supply Noise Compensation 72 4.2.1 Circuit Implementation 73 4.2.2 Frequency-Domain Analysis 76 4.2.3 Circuit Optimization 81 4.3 ADPLL Implementation 87 4.4 Measurement Results 90 4.5 Summary 98 5 Conclusions 99 A Notes on the 8REF 102 B Notes on the ACSC 105๋ฐ•

    Techniques for high-performance digital frequency synthesis and phase control

    Get PDF
    Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2008.Includes bibliographical references (p. 183-190).This thesis presents a 3.6-GHz, 500-kHz bandwidth digital [delta][sigma] frequency synthesizer architecture that leverages a recently invented noise-shaping time-to-digital converter (TDC) and an all-digital quantization noise cancellation technique to achieve excellent in-band and out-of-band phase noise, respectively. In addition, a passive digital-to-analog converter (DAC) structure is proposed as an efficient interface between the digital loop filter and a conventional hybrid voltage-controlled oscillator (VCO) to create a digitally-controlled oscillator (DCO). An asynchronous divider structure is presented which lowers the required TDC range and avoids the divide-value-dependent delay variation. The prototype is implemented in a 0.13-am CMOS process and its active area occupies 0.95 mmยฒ. Operating under 1.5 V, the core parts, excluding the VCO output buffer, dissipate 26 mA. Measured phase noise at 3.67 GHz achieves -108 dBc/Hz and -150 dBc/Hz at 400 kHz and 20 MHz, respectively. Integrated phase noise at this carrier frequency yields 204 fs of jitter (measured from 1 kHz to 40 MHz). In addition, a 3.2-Gb/s delay-locked loop (DLL) in a 0.18-[mu]m CMOS for chip-tochip communications is presented. By leveraging the fractional-N synthesizer technique, this architecture provides a digitally-controlled delay adjustment with a fine resolution and infinite range. The provided delay resolution is less sensitive to the process, voltage, and temperature variations than conventional techniques. A new [delta][sigma] modulator enables a compact and low-power implementation of this architecture. A simple bang-bang detector is used for phase detection. The prototype operates at a 1.8-V supply voltage with a current consumption of 55 mA. The phase resolution and differential rms clock jitter are 1.4 degrees and 3.6 ps, respectively.by Chun-Ming Hsu.Ph.D

    On-Chip Analog Circuit Design Using Built-In Self-Test and an Integrated Multi-Dimensional Optimization Platform

    Get PDF
    Nowadays, the rapid development of system-on-chip (SoC) market introduces tremendous complexity into the integrated circuit (IC) design. Meanwhile, the IC fabrication process is scaling down to allow higher density of integration but makes the chips more sensitive to the process-voltage-temperature (PVT) variations. A successful IC product not only imposes great pressure on the IC designers, who have to handle wider variations and enforce more design margins, but also challenges the test procedure, leading to more check points and longer test time. To relax the designersโ€™ burden and reduce the cost of testing, it is valuable to make the IC chips able to test and tune itself to some extent. In this dissertation, a fully integrated in-situ design validation and optimization (VO) hardware for analog circuits is proposed. It implements in-situ built-in self-test (BIST) techniques for analog circuits. Based on the data collected from BIST, the error between the measured and the desired performance of the target circuit is evaluated using a cost function. A digital multi-dimensional optimization engine is implemented to adaptively adjust the analog circuit parameters, seeking the minimum value of the cost function and achieving the desired performance. To verify this concept, study cases of a 2nd/4th active-RC band-pass filter (BPF) and a 2nd order Gm-C BPF, as well as all BIST and optimization blocks, are adopted on-chip. Apart from the VO system, several improved BIST techniques are also proposed in this dissertation. A single-tone sinusoidal waveform generator based on a finite-impulse-response (FIR) architecture, which utilizes an optimization algorithm to enhance its spur free dynamic range (SFDR), is proposed. It achieves an SFDR of 59 to 70 dBc from 150 to 850 MHz after the optimization procedure. A low-distortion current-steering two-tone sinusoidal signal synthesizer based on a mixing-FIR architecture is also proposed. The two-tone synthesizer extends the FIR architecture to two stages and implements an up-conversion mixer to generate the two tones, achieving better than -68 dBc IM3 below 480 MHz LO frequency without calibration. Moreover, an on-chip RF receiver linearity BIST methodology for continuous and discrete-time hybrid baseband chain is proposed. The proposed receiver chain implements a charge-domain FIR filter to notch the two excitation signals but expose the third order intermodulation (IM3) tones. It simplifies the linearity measurement procedureโ€“using a power detector is enough to analyze the receiverโ€™s linearity. Finally, a low cost fully digital built-in analog tester for linear-time-invariant (LTI) analog blocks is proposed. It adopts a time-to-digital converter (TDC) to measure the delays corresponded to a ramp excitation signal and is able to estimate the pole or zero locations of a low-pass LTI system

    Digital Intensive Mixed Signal Circuits with In-situ Performance Monitors

    Get PDF
    University of Minnesota Ph.D. dissertation.November 2016. Major: Electrical/Computer Engineering. Advisor: Chris Kim. 1 computer file (PDF); x, 137 pages.Digital intensive circuit design techniques of different mixed-signal systems such as data converters, clock generators, voltage regulators etc. are gaining attention for the implementation of modern microprocessors and system-on-chips (SoCs) in order to fully utilize the benefits of CMOS technology scaling. Moreover different performance improvement schemes, for example, noise reduction, spur cancellation, linearity improvement etc. can be easily performed in digital domain. In addition to that, increasing speed and complexity of modern SoCs necessitate the requirement of in-situ measurement schemes, primarily for high volume testing. In-situ measurements not only obviate the need for expensive measurement equipments and probing techniques, but also reduce the test time significantly when a large number of chips are required to be tested. Several digital intensive circuit design techniques are proposed in this dissertation along with different in-situ performance monitors for a variety of mixed signal systems. First, a novel beat frequency quantization technique is proposed in a two-step VCO quantizer based ADC implementation for direct digital conversion of low amplitude bio- potential signals. By direct conversion, it alleviates the requirement of the area and power consuming analog-frontend (AFE) used in a conventional ADC designs. This prototype design is realized in a 65nm CMOS technology. Measured SNDR is 44.5dB from a 10mVpp, 300Hz signal and power consumption is only 38ฮผW. Next, three different clock generation circuits, a phase-locked loop (PLL), a multiplying delay-locked loop (MDLL) and a frequency-locked loop (FLL) are presented. First a 0.4-to-1.6GHz sub-sampling fractional-N all digital PLL architecture is discussed that utilizes a D-flip-flop as a digital sub-sampler. Measurement results from a 65nm CMOS test-chip shows 5dB lower phase noise at 100KHz offset frequency, compared to a conventional architecture. The Digital PLL (DPLL) architecture is further extended for a digital MDLL implementation in order to suppress the VCO phase noise beyond the DPLL bandwidth. A zero-offset aperture phase detector (APD) and a digital- to-time converter (DTC) are employed for static phase-offset (SPO) cancellation. A unique in-situ detection circuitry achieves a high resolution SPO measurement in time domain. A 65nm test-chip shows 0.2-to-1.45GHz output frequency range while reducing the phase-noise by 9dB compared to a DPLL. Next, a frequency-to-current converter (FTC) based fractional FLL is proposed for a low accuracy clock generation in an extremely low area for IoT application. High density deep-trench capacitors are used for area reduction. The test-chip is fabricated in a 32nm SOI technology that takes only 0.0054mm2 active area. A high-resolution in-situ period jitter measurement block is also incorporated in this design. Finally, a time based digital low dropout (DLDO) regulator architecture is proposed for fine grain power delivery over a wide load current dynamic range and input/output voltage in order to facilitate dynamic voltage and frequency scaling (DVFS). High- resolution beat frequency detector dynamically adjusts the loop sampling frequency for ripple and settling time reduction due to load transients. A fixed steady-state voltage offset provides inherent active voltage positioning (AVP) for ripple reduction. Circuit simulations in a 65nm technology show more than 90% current efficiency for 100X load current variation, while it can operate for an input voltage range of 0.6V โ€“ 1.2V

    Broadband Direct RF Digitization Receivers

    Full text link

    Time-Mode Analog Circuit Design for Nanometric Technologies

    Get PDF
    Rapid scaling in technology has introduced new challenges in the realm of traditional analog design. Scaling of supply voltage directly impacts the available voltage-dynamic-range. On the other hand, nanometric technologies with fT in the hundreds of GHz range open opportunities for time-resolution-based signal processing. With reduced available voltage-dynamic-range and improved timing resolution, it is more convenient to devise analog circuits whose performance depends on edge-timing precision rather than voltage levels. Thus, instead of representing the data/information in the voltage-mode, as a difference between two node voltages, it should be represented in time-mode as a time-difference between two rising and/or falling edges. This dissertation addresses the feasibility of employing time-mode analog circuit design in different applications. Specifically: 1) Time-mode-based quanitzer and feedback DAC of SigmaDelta ADC. 2) Time-mode-based low-THD 10MHz oscillator, 3) A Spur-Frequency Boosting PLL with -74dBc Reference-Spur Rejection in 90nm Digital CMOS. In the first project, a new architectural solution is proposed to replace the DAC and the quantizer by a Time-to-Digital converter. The architecture has been fabricated in 65nm and shows that this technology node is capable of achieving a time-matching of 800fs which has never been reported. In addition, a competitive figure-of-merit is achieved. In the low-THD oscillator, I proposed a new architectural solution for synthesizing a highly-linear sinusoidal signal using a novel harmonic rejection approach. The chip is fabricated in 130nm technology and shows an outstanding performance compared to the state of the art. The designed consumes 80% less power; consumes less area; provides much higher amplitude while being composed of purely digital circuits and passive elements. Last but not least, the spur-frequency boosting PLL employs a novel technique that eliminates the reference spurs. Instead of adding additional filtering at the reference frequency, the spur frequency is boosted to higher frequency which is, naturally, has higher filtering effects. The prototype is fabricated in 90nm digital CMOS and proved to provide the lowest normalized reference spurs ever reported
    corecore