539 research outputs found

    Cmos Rotary Traveling Wave Oscillators (Rtwos)

    Get PDF
    Rotary Traveling Wave Oscillator (RTWO) represents a transmission line based technology for multi-gigahertz multiple phase clock generation. RTWO is known for providing low jitter and low phase noise signals but the issue of high power consumption is a major drawback in its application. Direction of wave propagation is random and is determined by the least resistance path in the absence of an external direction control circuit. The objective of this research is to address some of the problems of RTWO design, including high power consumption, uncertainty of propagation direction and optimization of design variables. Included is the modeling of RTWO for sensitivity, phase noise and power analysis. Research objectives were met through design, simulation and implementation. Different designs of RTWO in terms of ring size and number of amplifier stages were implemented and tested. Design tools employed include Agilent ADS, Cadence EDA, SONNET and Altium PCB Designer. Test chip was fabricated using IBM 0.18 μm RF CMOS technology. Performance measures of interest are tuning range, phase noise and power consumption. Agilent ADS and SONNET were used for electromagnetic modeling of transmission lines and electromagnetic field radiation. For each design, electromagnetic simulations were carried out followed by oscillation synthesis based on circuit simulation in Cadence Spectre. RTWO frequencies between 2 GHz and 12 GHz were measured based on the ring size of transmission lines. Simulated microstrip transmission line segments had a quality factor between 5.5 and 18. For the various designs, power consumption ranged from 20 mW to 120 mW. Measured phase noise ranged between -123 dBc/Hz and -87 dBc/Hz at 1 MHz offset. Development also included the design of a wide band buffer and a printed circuit board with high signal integrity for accurate measurement of oscillation frequency and other performance measures. Simulated performance, schematics and measurement results are presented

    Nonlinear microwave simulation techniques

    Get PDF
    The design of high performance circuits with short manufacturing cycles and low cost demands reliable analysis tools, capable to accurately predict the circuit behaviour prior to manufacturing. In the case of nonlinear circuits, the user must be aware of the possible coexistence of different steady-state solutions for the same element values and the fact that steady-state methods, such as harmonic balance, may converge to unstable solutions that will not be observed experimentally. In this contribution, the main numerical iterative methods for nonlinear analysis, including time-domain integrations, shooting, harmonic balance and envelope transient, are briefly presented and compared. The steady-state methods must be complemented with a stability steady-state analysis to verify the physical existence of the solution. This stability analysis can also be combined with the use of auxiliary generators to simulate the circuit self-oscillation and predict qualitative changes in the solution under the continuous variation of a parameter. The methods will be applied to timely circuit examples that are demanding from the nonlinear analysis point of view.This work has been supported by the Spanish Government under contract TEC2014-60283-C3-1-R and the Parliament of Cantabria (12.JP02.64069)

    Ku band rotary traveling-wave voltage controlled oscillator

    Get PDF
    Voltage-controlled oscillator (VCO) plays a key role in determination of the link budget of wireless communication, and consequently the performance of the transceiver. Lowering the noise contribution from the VCO to the entire system is always challenging and remains the active research area. Motivated by high demands for the low-phase noise, low-power consumption VCO in the application of 5G, radar-sensing system, implantable device, to name a few, this research focused on the design of a rotary travelling-wave oscillator (RTWO). A power conscious RTWO with reliable direction control of the wave propagation was investigated. The phase noise was analyzed based on the proposed RTWO. The phase noise reduction technique was introduced by using tail current source filtering technique in which a figure-8 inductors were employed. Three RTWO were implemented based on GF 130 nm standard CMOS process and TSMC 130 nm standard CMOS process. The first design was achieving 16-GHz frequency with power consumption of 5.8-mW with 190.3 dBc/Hz FoM at 1 MHz offset. The second and third design were operating at 14-GHz with a power consumption range of 13-18.4mW and 14.6-20.5mW, respectively. The one with filtering technique achieved FoM of 184.8 dBc/Hz at 1 MHz whereas the one without inudctor filtering obtained FoM of 180.8 dBc/Hz at 1 MHz offset based on simulation

    New methodologies for the analysis and synthesis of oscillator circuits

    Get PDF
    Advances in the analysis and synthesis of oscillator circuits, using harmonic balance (HB), are presented. They rely on the use of auxiliary generators, which can be introduced into the HB software to impose mathematical conditions or to extract a realistic oscillator model. In particular, a bifurcation-detection technique, for the accurate design of dual-frequency oscillators, and a semi-analytical function, for the prediction of oscillation transients, are described. In dual-frequency oscillators, each oscillation must be the only stable solution in a certain parameter interval. This is ensured through the calculation of two distinct primary-Hopf bifurcation loci, which should give rise to disjoint parameter regions. Conditions for the physical observability of concurrent oscillations are also given. With respect to the transient prediction, both the linear and nonlinear stages are considered. The analysis is based on the derivation of outer-tier semi analytical equation, from which a growth rate function is identified, which, unlike ordinary simulations, is not constrained to particular initial values. The methods have been applied to two FET-based oscillator circuits that have been manufactured and measured, obtaining good agreement with the simulation results

    A PLL Design Based on a Standing Wave Resonant Oscillator

    Get PDF
    In this thesis, we present a new continuously variable high frequency standing wave oscillator and demonstrate its use in generating the phase locked clock signal of a digital IC. The ring based standing wave resonant oscillator is implemented with a plurality of wires connected in a mobius configuration, with a cross coupled inverter pair connected across the wires. The oscillation frequency can be modulated by coarse and fine tuning. Coarse modification is achieved by altering the number of wires in the ring that participate in the oscillation, by driving a digital word to a set of passgates which are connected to each wire in the ring. Fine tuning of the oscillation frequency is achieved by varying the body bias voltage of both the PMOS transistors in the cross coupled inverter pair which sustains the oscillations in the resonant ring. We validated our PLL design in a 90nm process technology. 3D parasitic RLCs for our oscillator ring were extracted with skin effect accounted for. Our PLL provides a frequency locking range from 6 GHz to 9 GHz, with a center frequency of 7.5 GHz. The oscillator alone consumes about 25 mW of power, and the complete PLL consumes a power of 28.5 mW. The observed jitter of the PLL is 2.56 percent. These numbers are significant improvements over the prior art in standing wave based PLLs

    Low Power Resonant Rotary Global Clock Distribution Network Design

    Get PDF
    Along with the increasing complexity of the modern very large scale integrated (VLSI) circuit design, the power consumption of the clock distribution network in digital integrated circuits is continuously increasing. In terms of power and clock skew, the resonant clock distribution network has been studied as a promising alternative to the conventional clock distribution network. Resonant clock distribution network, which works based on adiabatic switching principles, provides a complete solution for on-chip clock generation and distribution for low-power and low-skew clock network designs for high-performance synchronous VLSI circuits.This dissertation work aims to develop the global clock distribution network for one kind of resonant clocking technologies: The resonant rotary clocking technology. The following critical aspects are addressed in this work: (1) A novel rotary oscillator array (ROA) topology is proposed to solve the signal rotation direction uniformity problem, in order to support the design of resonant rotary clocking based low-skew clock distribution network; (2) A synchronization scheme is proposed to solve the large scale rotary clocking generation circuit synchronization problem; (3) A low-skew rotary clock distribution network design methodology is proposed with frequency, power and skew optimizations; (4) A resonant rotary clocking based physical design flow is proposed, which can be integrated in the current mainstream IC design flow; (5) A dynamic rotary frequency divider is proposed for dynamic frequency scaling applications. Experimental and theoretical results show: (1) The efficiency of the proposed methodology in the construction of low-skew, low-power resonant rotary clock distribution network. (2) The effectiveness of the dynamic rotary frequency divider in extending the operating frequency range of the low-power resonant rotary based applications.Ph.D., Electrical Engineering -- Drexel University, 201

    Cumulative Index to NASA Tech Briefs, 1963 - 1966

    Get PDF
    Cumulative index of NASA Tech Briefs dealing with electrical and electronic, physical science and energy sources, materials and chemistry, life science, and mechanical innovation
    • …
    corecore