97 research outputs found

    An Advanced Caching Solution to Cluster Storage Environment

    Get PDF
    Clustered storage is the deployment of multiple data servers working together to improve reliability, capacity and performance. Clustering divides workloads to every storage server to control and monitor workload transfer and file access between servers without taking into account of the physical location of the file. Solid State Drives (SSD) can be considered as a more sophisticated version of a USB memory stick since the memory stick does not have any moving part associated with it and moreover, data is stored in microchips. In this paper, we give an overview of an advanced caching solution to improve IO and application performance by using flash storage in cluster storage environment. It is a cluster storage solution with two highly scalable servers with optimizations to ensure fast service failovers and deploying one or two solid state drives as the cache devices for faster and better performance. The software supports write-back caching policy where both read and write requests on hot regions of drivesare cached. With write-back, write requests to the hot regions are acknowledged immediately after it is written to the cache device and this (dirty) data will be flushed to back-end virtual drive in the background. Flushing of dirty data will be performed by the flush manager of the software under different scenarios like amount dirty data reaches a threshold, IO activity during a time interval is low etc. The solution effectively harnesses the flash storage performance potential by retaining only frequently accessed data in flash for quick retrieval. The solution provides unmatched efficiency, performance, support and reliability for enterprises or storage world

    Reconfigurable RRAM-based computing: A Case study for reliability enhancement

    Get PDF
    Emerging hybrid-CMOS nanoscale devices and architectures offer greater degree of integration and performance capabilities. However, the high power densities, hard error frequency, process variations, and device wearout affect the overall system reliability. Reactive design techniques, such as redundancy, account for component failures by mitigating them to prevent system failures. These techniques incur high area and power overhead. This research focuses on exploring hybrid CMOS/Resistive RAM (RRAM) architectures that enhance the system reliability by performing computation in RRAM cache whenever CMOS logic units fail, essentially masking the area overhead of redundant logic when not in use. The proposed designs are validated using the Gem5 performance simulator and McPAT power simulator running single-core SPEC2006 benchmarks and multi-core PARSEC benchmarks. The simulation results are used to evaluate the efficacy of reliability enhancement techniques using RRAM. The average runtime when using RRAM for functional unit replacement was between ~1.5 and ~2.5 times longer than the baseline for a single-core architecture, ~1.25 and ~2 times longer for an 8-core architecture, and ~1.2 and ~1.5 times longer for a 16-core architecture. Average energy consumption when using RRAM for functional unit replacement was between ~2 and ~5 times more than the baseline for a single-core architecture, and ~1.25 and ~2.75 times more for multi-core architectures. The performance degradation and energy consumption increase is justified by the prevention of system failure and enhanced reliability. Overall, the proposed architecture shows promise for use in multi-core systems. Average performance degradation decreases as more cores are used due to more total functional units being available, preventing a slow RRAM functional unit from becoming a bottleneck

    금속산화물 기반 저항변화메모리 소자의 노이즈 특성과 그것의 응용에 관한 연구

    Get PDF
    학위논문(박사) -- 서울대학교대학원 : 공과대학 전기·컴퓨터공학부, 2023. 2. 김재준.In the current pyramid-like structures memory hierarchy, it consists of, from top to bottom, a processing core, cache memory by static random access memory (SRAM), main memory by dynamic random access memory (DRAM), and storage memory by solid-state disk (SSD), or hard disk drive (HDD). In general, the closer to the processing core, the more high-speed operation is required, whereas the farther away from the core, the higher storage capacity is demanded. Consequently, the performance gap between DRAM and NAND Flash memory, which are currently major memory technologies, is continuously increasing. However, the need for new memory technology is increasing in order to solve the problem of data processing speed due to the explosive increase in the amount of data and the physical limitation of the existing memory technologies that has been raised for a long time. In addition, research and development on the storage class memory (SCM) technology is in progress as method of implementing In-Memory Process, a concept to solve the problem of Von Neumann architecture in various research groups. Among the candidates on the SCM, which satisfies both the high speed of DRAM and the density of NAND Flash, the resistive switching random access memory (RRAM) has been widely investigated as a leading candidate for next generation nonvolatile memory applications due to RRAMs advantageous features such as simple structure, low cost, high density, fast operation, and CMOS compatibility. However, the reliability issues which PCM suffered from is also being reproduced in RRAM. RRAMs various issues such as endurance, retention, and uniformity stem from intrinsic variability because resistive switching mechanism of RRAM itself is fundamentally stochastic. The main content of this dissertation is to develop a new electrical analysis technique to improve the reliability of RRAM. First, the elementary low frequency noise (LFN) characteristics of various RRAM devices were analyzed, and the correlation between LFN characteristics and the conduction/resistive switching mechanisms was experimentally verified. Also, it was suggested that the LFN measurement can be an additional analysis technique for devices degradation mechanism and multi-level cell (MLC) operation. Finally, from the random telegraph noise (RTN) measurement, we conducted a study to extract the position and energy of traps that can cause cells failure. The experiment on the extraction of traps physical information using the RTN measurement was conducted for the first in this study, and then research findings provided researchers with guidelines for the RTN analysis of RRAM.현재의 메모리 계층도를 보면 CPU는 고속 동작을 요구하고, 외부메모리는 고용량을 필요로 하기 때문에, 현재의 주요 메모리 기술인 DRAM과 NAND Flash 메모리의 성능 격차는 지속적으로 늘어나고 있다. 하지만 데이터 양의 폭발적인 증가로 인한 데이터 처리 속도 문제, 그리고 오래전부터 제기 되어왔던 기존 메모리의 물리적 한계를 해결하기 위해서 새로운 메모리 기술에 대한 필요성이 증가하고 있다. 또한 기존 폰노이만방식의 컴퓨터 시스템 구조의 문제점을 해결하기 위한 방법인 In-Memory Process를 실현하기 위한 방법으로 DRAM의 high speed, 그리고 NAND Flash의 high density 모두를 만족하는 SCM (storage class memory)기술에 대한 관심이 증가하고 있다. SCM 후보군 중에서, 저항 변화 메모리 소자인 RRAM (Resistive Random Access Memory)은 MIM, cross-point 형태의 간단한 구조를 가지며, 공정 상 집적도 향상에 유리하고, 사용되는 물질이 CMOS공정과 호환 가능하다. 이러한 장점들로 인해 기존 Flash 메모리 소자의 대안으로 학계에서 많은 연구가 진행 되어 왔지만, 한 단계 앞서 연구가 진행되었던 PCM (Phase change RAM)이 겪고 있는 신뢰성 문제가 RRAM에서도 재현되고 있다. RRAM의 신뢰성 문제는 RRAM의 저항 스위칭 메커니즘 자체가 근본적으로 확률적이기 때문에 본질적 변동성에서 기인하는 것이다. 본 논문의 주요 내용은 RRAM의 신뢰성 향상을 위해서 새로운 전기적 분석기법을 개발하는 것이다. 우선 다양한 메커니즘으로 동작하는 RRAM소자의 기본적인 저주파 잡음 특성을 분석하고, 이를 소자의 전도 메커니즘 및 저항 변화 메커니즘과의 연관성을 검증하였다. 측정결과를 기존 저주파 잡음 이론을 통해 해석하고, 다양한 소자에 이를 적용시켜 저주파 잡음 분석 기법이 RRAM의 동작 메커니즘 분석에 이용할 수 있음을 증명하였다. 또한, 소자의 열화 메커니즘 및 MLC (Multi-Level Cell) 분석에 있어서도 저주파 잡음 측정이 추가적인 분석기법이될 수 있음을 제시하였다. 마지막으로, 소자의 저주파 잡음 특성 중 하나인 RTN (Random Telegraph Noise)특성 분석을 통해 셀의 fail 을 일으킬 수 있는 trap의 위치 및 에너지를 추출하는 연구를 진행하였다. RRAM의 trap정보 추출에 관한 측정 및 분석은 본 연구에서 최초로 진행되었던 것이고, 이후 RRAM의 RTN분석에 가이드라인을 제시하였다.Chapter1 Introduction 1 1.1 Memory trends 1 1.1.1 Memory wall 1 1.1.2 In-memory processing 3 1.2 SCM technologies 4 1.2.1 Phase change memory 4 1.2.2 Magnetic memory 6 1.2.3 Ferroelectric memory 7 1.2.4 Resistive memory 8 1.3 Thesis content overview 12 1.3.1 Thesis objectives 12 1.3.2 Thesis outline 13 Chapter2 Overview on conduction mechanisms 14 2.1 Electrode-limited conduction mechanisms 14 2.1.1 Schottky emission 15 2.1.2 Fowler-Nordheim (F-N) and direct tunneling 17 2.2 Bulk-limited conduction mechanisms 18 2.2.1 Poole-Frenkel (P-F) emission 18 2.2.2 Ohmic conduction 19 2.2.3 Space charge limited conduction (SCLC) 20 Chapter3 LFN applications for RRAM analysis 23 3.1 Introduction to 1/f 23 3.2 LFN application (1): Resistive switching analysis 26 3.3 LFN application (2): MLC analysis 30 3.4 LFN application (3): Degradation analysis 35 Chapter4 Analysis of conduction mechanism using LFN 39 4.1 Thermochemical mechanism RRAM 39 4.1.1 Fabrication 39 4.1.2 Experimental results: RS and I-V characteristics 40 4.1.3 Experimental results: LFN characteristics 46 4.2 Valence change mechanism RRAM 50 4.2.1 Fabrication 50 4.2.2 Experimental results: RS and I-V characteristics 52 4.2.3 Experimental results: LFN characteristics 55 4.3 Comparative analysis of conduction mechanism 58 4.3.1 Fabrication 58 4.3.2 Experimental results: RS and I-V characteristics 61 4.3.3 Experimental results: LFN characteristics 63 Chapter5 Random telegraph noise (RTN) in RRAM 67 5.1 Introduction to RTN 67 5.2 RTN in RRAM 69 5.2.1 Methodology for extracting trap information 69 5.2.2 Experimental results 73 Chapter6 78 Conclusions 78박

    Automated Synthesis of Memristor Crossbar Networks

    Get PDF
    The advancement of semiconductor device technology over the past decades has enabled the design of increasingly complex electrical and computational machines. Electronic design automation (EDA) has played a significant role in the design and implementation of transistor-based machines. However, as transistors move closer toward their physical limits, the speed-up provided by Moore\u27s law will grind to a halt. Once again, we find ourselves on the verge of a paradigm shift in the computational sciences as newer devices pave the way for novel approaches to computing. One of such devices is the memristor -- a resistor with non-volatile memory. Memristors can be used as junctional switches in crossbar circuits, which comprise of intersecting sets of vertical and horizontal nanowires. The major contribution of this dissertation lies in automating the design of such crossbar circuits -- doing a new kind of EDA for a new kind of computational machinery. In general, this dissertation attempts to answer the following questions: a. How can we synthesize crossbars for computing large Boolean formulas, up to 128-bit? b. How can we synthesize more compact crossbars for small Boolean formulas, up to 8-bit? c. For a given loop-free C program doing integer arithmetic, is it possible to synthesize an equivalent crossbar circuit? We have presented novel solutions to each of the above problems. Our new, proposed solutions resolve a number of significant bottlenecks in existing research, via the usage of innovative logic representation and artificial intelligence techniques. For large Boolean formulas (up to 128-bit), we have utilized Reduced Ordered Binary Decision Diagrams (ROBDDs) to automatically synthesize linearly growing crossbar circuits that compute them. This cutting edge approach towards flow-based computing has yielded state-of-the-art results. It is worth noting that this approach is scalable to n-bit Boolean formulas. We have made significant original contributions by leveraging artificial intelligence for automatic synthesis of compact crossbar circuits. This inventive method has been expanded to encompass crossbar networks with 1D1M (1-diode-1-memristor) switches, as well. The resultant circuits satisfy the tight constraints of the Feynman Grand Prize challenge and are able to perform 8-bit binary addition. A leading edge development for end-to-end computation with flow-based crossbars has been implemented, which involves methodical translation of loop-free C programs into crossbar circuits via automated synthesis. The original contributions described in this dissertation reflect the substantial progress we have made in the area of electronic design automation for synthesis of memristor crossbar networks

    Thermal profiling in CMOS/memristor hybrid architectures

    Get PDF
    CMOS/memristor hybrid architectures combine conventional CMOS processing elements with thin-film memristor-based crossbar circuits for high-density reconfigurable systems. These architectures have received an explosive growth in research over the past few years due to the first practical demonstration of a thin-film memristor in 2008. The reliability and lifetimes of both the CMOS and memristor partitions of these architectures are severely affected by temperature variations across the chip. Therefore, it is expected that dynamic thermal management (DTM) mechanisms will be needed to improve their reliability and lifetime. This thesis explores one aspect of DTM--thermal profiling--in a CMOS/memristor memory architecture. A temperature sensing resistive random access memory (TSRRAM) was designed. Temperature information is extracted from the TSRRAM by measuring the write time of thin-film memristors. Active and passive sensing mechanisms are also introduced as means for DTM algorithms to determine the thermal profile of the chip. Crosstherm, a simulation framework, was developed to analyze the effects of temperature variations in CMOS/memristor architectures. The TSRRAM design was simulated using the Crosstherm framework for four CMOS processor benchmarks. Passive sensing produced a mean absolute sensor error across all benchmarks of 2.14 K. The size of the DTM unit\u27s memory was also shown to have a significant impact on the accuracy of extracted thermal data during passive sensing. Active sensing was also demonstrated to show the effect of dynamic adjustment of sensor resolution on the accuracy of hotspot temperature estimations

    Gestión de jerarquías de memoria híbridas a nivel de sistema

    Get PDF
    Tesis inédita de la Universidad Complutense de Madrid, Facultad de Informática, Departamento de Arquitectura de Computadoras y Automática y de Ku Leuven, Arenberg Doctoral School, Faculty of Engineering Science, leída el 11/05/2017.In electronics and computer science, the term ‘memory’ generally refers to devices that are used to store information that we use in various appliances ranging from our PCs to all hand-held devices, smart appliances etc. Primary/main memory is used for storage systems that function at a high speed (i.e. RAM). The primary memory is often associated with addressable semiconductor memory, i.e. integrated circuits consisting of silicon-based transistors, used for example as primary memory but also other purposes in computers and other digital electronic devices. The secondary/auxiliary memory, in comparison provides program and data storage that is slower to access but offers larger capacity. Examples include external hard drives, portable flash drives, CDs, and DVDs. These devices and media must be either plugged in or inserted into a computer in order to be accessed by the system. Since secondary storage technology is not always connected to the computer, it is commonly used for backing up data. The term storage is often used to describe secondary memory. Secondary memory stores a large amount of data at lesser cost per byte than primary memory; this makes secondary storage about two orders of magnitude less expensive than primary storage. There are two main types of semiconductor memory: volatile and nonvolatile. Examples of non-volatile memory are ‘Flash’ memory (sometimes used as secondary, sometimes primary computer memory) and ROM/PROM/EPROM/EEPROM memory (used for firmware such as boot programs). Examples of volatile memory are primary memory (typically dynamic RAM, DRAM), and fast CPU cache memory (typically static RAM, SRAM, which is fast but energy-consuming and offer lower memory capacity per are a unit than DRAM). Non-volatile memory technologies in Si-based electronics date back to the 1990s. Flash memory is widely used in consumer electronic products such as cellphones and music players and NAND Flash-based solid-state disks (SSDs) are increasingly displacing hard disk drives as the primary storage device in laptops, desktops, and even data centers. The integration limit of Flash memories is approaching, and many new types of memory to replace conventional Flash memories have been proposed. The rapid increase of leakage currents in Silicon CMOS transistors with scaling poses a big challenge for the integration of SRAM memories. There is also the case of susceptibility to read/write failure with low power schemes. As a result of this, over the past decade, there has been an extensive pooling of time, resources and effort towards developing emerging memory technologies like Resistive RAM (ReRAM/RRAM), STT-MRAM, Domain Wall Memory and Phase Change Memory(PRAM). Emerging non-volatile memory technologies promise new memories to store more data at less cost than the expensive-to build silicon chips used by popular consumer gadgets including digital cameras, cell phones and portable music players. These new memory technologies combine the speed of static random-access memory (SRAM), the density of dynamic random-access memory (DRAM), and the non-volatility of Flash memory and so become very attractive as another possibility for future memory hierarchies. The research and information on these Non-Volatile Memory (NVM) technologies has matured over the last decade. These NVMs are now being explored thoroughly nowadays as viable replacements for conventional SRAM based memories even for the higher levels of the memory hierarchy. Many other new classes of emerging memory technologies such as transparent and plastic, three-dimensional(3-D), and quantum dot memory technologies have also gained tremendous popularity in recent years...En el campo de la informática, el término ‘memoria’ se refiere generalmente a dispositivos que son usados para almacenar información que posteriormente será usada en diversos dispositivos, desde computadoras personales (PC), móviles, dispositivos inteligentes, etc. La memoria principal del sistema se utiliza para almacenar los datos e instrucciones de los procesos que se encuentre en ejecución, por lo que se requiere que funcionen a alta velocidad (por ejemplo, DRAM). La memoria principal está implementada habitualmente mediante memorias semiconductoras direccionables, siendo DRAM y SRAM los principales exponentes. Por otro lado, la memoria auxiliar o secundaria proporciona almacenaje(para ficheros, por ejemplo); es más lenta pero ofrece una mayor capacidad. Ejemplos típicos de memoria secundaria son discos duros, memorias flash portables, CDs y DVDs. Debido a que estos dispositivos no necesitan estar conectados a la computadora de forma permanente, son muy utilizados para almacenar copias de seguridad. La memoria secundaria almacena una gran cantidad de datos aun coste menor por bit que la memoria principal, siendo habitualmente dos órdenes de magnitud más barata que la memoria primaria. Existen dos tipos de memorias de tipo semiconductor: volátiles y no volátiles. Ejemplos de memorias no volátiles son las memorias Flash (algunas veces usadas como memoria secundaria y otras veces como memoria principal) y memorias ROM/PROM/EPROM/EEPROM (usadas para firmware como programas de arranque). Ejemplos de memoria volátil son las memorias DRAM (RAM dinámica), actualmente la opción predominante a la hora de implementar la memoria principal, y las memorias SRAM (RAM estática) más rápida y costosa, utilizada para los diferentes niveles de cache. Las tecnologías de memorias no volátiles basadas en electrónica de silicio se remontan a la década de1990. Una variante de memoria de almacenaje por carga denominada como memoria Flash es mundialmente usada en productos electrónicos de consumo como telefonía móvil y reproductores de música mientras NAND Flash solid state disks(SSDs) están progresivamente desplazando a los dispositivos de disco duro como principal unidad de almacenamiento en computadoras portátiles, de escritorio e incluso en centros de datos. En la actualidad, hay varios factores que amenazan la actual predominancia de memorias semiconductoras basadas en cargas (capacitivas). Por un lado, se está alcanzando el límite de integración de las memorias Flash, lo que compromete su escalado en el medio plazo. Por otra parte, el fuerte incremento de las corrientes de fuga de los transistores de silicio CMOS actuales, supone un enorme desafío para la integración de memorias SRAM. Asimismo, estas memorias son cada vez más susceptibles a fallos de lectura/escritura en diseños de bajo consumo. Como resultado de estos problemas, que se agravan con cada nueva generación tecnológica, en los últimos años se han intensificado los esfuerzos para desarrollar nuevas tecnologías que reemplacen o al menos complementen a las actuales. Los transistores de efecto campo eléctrico ferroso (FeFET en sus siglas en inglés) se consideran una de las alternativas más prometedores para sustituir tanto a Flash (por su mayor densidad) como a DRAM (por su mayor velocidad), pero aún está en una fase muy inicial de su desarrollo. Hay otras tecnologías algo más maduras, en el ámbito de las memorias RAM resistivas, entre las que cabe destacar ReRAM (o RRAM), STT-RAM, Domain Wall Memory y Phase Change Memory (PRAM)...Depto. de Arquitectura de Computadores y AutomáticaFac. de InformáticaTRUEunpu

    Fault and Defect Tolerant Computer Architectures: Reliable Computing With Unreliable Devices

    Get PDF
    This research addresses design of a reliable computer from unreliable device technologies. A system architecture is developed for a fault and defect tolerant (FDT) computer. Trade-offs between different techniques are studied and yield and hardware cost models are developed. Fault and defect tolerant designs are created for the processor and the cache memory. Simulation results for the content-addressable memory (CAM)-based cache show 90% yield with device failure probabilities of 3 x 10(-6), three orders of magnitude better than non fault tolerant caches of the same size. The entire processor achieves 70% yield with device failure probabilities exceeding 10(-6). The required hardware redundancy is approximately 15 times that of a non-fault tolerant design. While larger than current FT designs, this architecture allows the use of devices much more likely to fail than silicon CMOS. As part of model development, an improved model is derived for NAND Multiplexing. The model is the first accurate model for small and medium amounts of redundancy. Previous models are extended to account for dependence between the inputs and produce more accurate results

    A hierarchical optimization engine for nanoelectronic systems using emerging device and interconnect technologies

    Get PDF
    A fast and efficient hierarchical optimization engine was developed to benchmark and optimize various emerging device and interconnect technologies and system-level innovations at the early design stage. As the semiconductor industry approaches sub-20nm technology nodes, both devices and interconnects are facing severe physical challenges. Many novel device and interconnect concepts and system integration techniques are proposed in the past decade to reinforce or even replace the conventional Si CMOS technology and Cu interconnects. To efficiently benchmark and optimize these emerging technologies, a validated system-level design methodology is developed based on the compact models from all hierarchies, starting from the bottom material-level, to the device- and interconnect-level, and to the top system-level models. Multiple design parameters across all hierarchies are co-optimized simultaneously to maximize the overall chip throughput instead of just the intrinsic delay or energy dissipation of the device or interconnect itself. This optimization is performed under various constraints such as the power dissipation, maximum temperature, die size area, power delivery noise, and yield. For the device benchmarking, novel graphen PN junction devices and InAs nanowire FETs are investigated for both high-performance and low-power applications. For the interconnect benchmarking, a novel local interconnect structure and hybrid Al-Cu interconnect architecture are proposed, and emerging multi-layer graphene interconnects are also investigated, and compared with the conventional Cu interconnects. For the system-level analyses, the benefits of the systems implemented with 3D integration and heterogeneous integration are analyzed. In addition, the impact of the power delivery noise and process variation for both devices and interconnects are quantified on the overall chip throughput.Ph.D

    Memristive Non-Volatile Memory Based on Graphene Materials

    Get PDF
    Resistive random access memory (RRAM), which is considered as one of the most promising next-generation non-volatile memory (NVM) devices and a representative of memristor technologies, demonstrated great potential in acting as an artificial synapse in the industry of neuromorphic systems and artificial intelligence (AI), due its advantages such as fast operation speed, low power consumption, and high device density. Graphene and related materials (GRMs), especially graphene oxide (GO), acting as active materials for RRAM devices, are considered as a promising alternative to other materials including metal oxides and perovskite materials. Herein, an overview of GRM-based RRAM devices is provided, with discussion about the properties of GRMs, main operation mechanisms for resistive switching (RS) behavior, figure of merit (FoM) summary, and prospect extension of GRM-based RRAM devices. With excellent physical and chemical advantages like intrinsic Young’s modulus (1.0 TPa), good tensile strength (130 GPa), excellent carrier mobility (2.0 × 105 cm2∙V−1∙s−1), and high thermal (5000 Wm−1∙K−1) and superior electrical conductivity (1.0 × 106 S∙m−1), GRMs can act as electrodes and resistive switching media in RRAM devices. In addition, the GRM-based interface between electrode and dielectric can have an effect on atomic diffusion limitation in dielectric and surface effect suppression. Immense amounts of concrete research indicate that GRMs might play a significant role in promoting the large-scale commercialization possibility of RRAM devices
    corecore