40 research outputs found

    ๋ฉ”๋ชจ๋ฆฌ ์–ดํ”Œ๋ฆฌ์ผ€์ด์…˜์„ ์œ„ํ•œ ๋น ๋ฅธ ๊ณผ๋„ ์‘๋‹ต ์„ฑ๋Šฅ์„ ๊ฐ€์ง€๋Š” ๋””์ง€ํ„ธ ๋‚ฎ์€ ๋“œ๋กญ์•„์›ƒ ๋ ˆ๊ทค๋ ˆ์ดํ„ฐ ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2023. 2. ์ •๋•๊ท .In this dissertation, the design of a fast transient response digital low-dropout regulator (DLDO) applicable to next-generation memory systems is discussed. Recent technologies in memory systems mainly aim at high power density and fast data rate. Accordingly, the need for a power converter withstanding a large amount of load current change in a short period is increased. Accordingly, a solution for compensating for a voltage drop that causes significant damage to a memory data input/output is searched according to a periodic clock signal. With this situation, two structures that achieve fast transient response performance under the constraints of memory systems are proposed. To mitigate the transient response degradation under slow external clock conditions, an adaptive two-step search algorithm with event-driven approaches DLDO is proposed. The technique solves the limitations of loop operation time dependent on slow external clocks through a ring-amplifier-based continuous-time comparator. Also, shift register is designed as a circular structure with centralized control of each register to reduce the cost. Finally, the remaining regulation error is controlled by an adaptive successive approximation algorithm to minimize the settling time. Fast recovery and settling time are shown through the measurement of the prototype chip implemented by the 40-nm CMOS process. Next, a digital low dropout regulator for ultra-fast transient response is designed. A slope-detector-based coarse controller to detect, compensate, and correct load current changes occurring at every rising or falling edge of tens to hundreds of megahertz clocks is proposed. Compensation efficiency is increased by the method according to the degree of change in load voltage over time. Furthermore, the LUT-based shift register enables the fast loop response speed of the DLDO. Finally, a bidirectional latch-based driver with fast settling speed and high resolution are proposed. The prototype chip is implemented with a 40-nm CMOS process and achieves effective load voltage recovery through fast transient response performance even with low load capacitance.๋ณธ ๋…ผ๋ฌธ์€ ์ฐจ์„ธ๋Œ€ ๋ฉ”๋ชจ๋ฆฌ ์‹œ์Šคํ…œ์— ์ ์šฉ ๊ฐ€๋Šฅํ•œ ๋น ๋ฅธ ๊ณผ๋„ ์‘๋‹ต ์„ฑ๋Šฅ์„ ๊ฐ€์ง€๋Š” ๋””์ง€ํƒˆ ๋‚ฎ์€ ๋“œ๋กญ์•„์›ƒ ๋ ˆ๊ทค๋ ˆ์ดํ„ฐ์˜ ์„ค๊ณ„์— ๋Œ€ํ•ด ๊ธฐ์ˆ ํ•œ๋‹ค. ๋ฉ”๋ชจ๋ฆฌ ์‹œ์Šคํ…œ์˜ ์ตœ๊ทผ ๊ธฐ์ˆ ๋“ค์€ ๋†’์€ ์ „๋ ฅ ๋ฐ€๋„์™€ ๋น ๋ฅธ ๋ฐ์ดํ„ฐ ์†๋„๋ฅผ ์ฃผ๋œ ๋ชฉํ‘œ๋กœ ํ•˜๋ฉฐ ์ด์— ๋งž์ถ”์–ด ๋‹จ๊ธฐ๊ฐ„, ๋งŽ์€ ์–‘์˜ ๋ถ€ํ•˜ ์ „๋ฅ˜ ๋ณ€ํ™”๋ฅผ ๊ฒฌ๋””๋Š” ํŒŒ์›Œ ์ปจ๋ฒ„ํ„ฐ์˜ ํ•„์š”์„ฑ์ด ๋†’์•„์ง€๊ณ  ์žˆ๋‹ค. ์ด์— ์ฃผ๊ธฐ์ ์ธ ํด๋ฝ ์‹ ํ˜ธ์— ๋”ฐ๋ผ ๋ฉ”๋ชจ๋ฆฌ ๋ฐ์ดํ„ฐ ์ž…์ถœ๋ ฅ์— ์œ ์˜๋ฏธํ•œ ์†์ƒ์„ ๋ฐœ์ƒ์‹œํ‚ค๋Š” ์ „์•• ๊ฐ•ํ•˜๋ฅผ ๋ณด์ƒํ•˜๋Š” ํ•ด๊ฒฐ ๋ฐฉ์•ˆ์„ ํƒ์ƒ‰ํ•œ๋‹ค. ์ด๋ฅผ ํ†ตํ•ด ๋ฉ”๋ชจ๋ฆฌ ์‹œ์Šคํ…œ์ด ๊ฐ€์ง€๋Š” ์ œ์•ฝ์กฐ๊ฑด ํ•˜์—์„œ ๋น ๋ฅธ ๊ณผ๋„ ์‘๋‹ต ์„ฑ๋Šฅ์„ ๋‹ฌ์„ฑํ•˜๋Š” ๋‘ ๊ฐ€์ง€ ๊ตฌ์กฐ๋ฅผ ์ œ์•ˆํ•œ๋‹ค. ์ฒซ ๋ฒˆ์งธ ์‹œ์—ฐ์œผ๋กœ์„œ, ๋Š๋ฆฐ ์™ธ๋ถ€ ํด๋ฝ ์กฐ๊ฑด์—์„œ ์œ ๋ฐœ๋˜๋Š” ๋””์ง€ํƒˆ ๋‚ฎ์€ ๋“œ๋กญ์•„์›ƒ ๋ ˆ๊ทค๋ ˆ์ดํ„ฐ์˜ ๊ณผ๋„ ์‘๋‹ต ์„ฑ๋Šฅ ์ €ํ•˜๋ฅผ ์™„ํ™”์‹œํ‚ค๊ธฐ ์œ„ํ•œ ์ด๋ฒคํŠธ ์ฃผ๋„ ๋ฐฉ์‹์˜ ์ ์‘ํ˜• ๋‘ ๋‹จ๊ณ„ ์„œ์น˜ ๊ธฐ์ˆ ์„ ์ œ์•ˆํ•œ๋‹ค. ๋ณธ ๊ธฐ์ˆ ์€ ๋Š๋ฆฐ ์™ธ๋ถ€ํด๋ฝ์— ์˜์กดํ•œ ๋ฃจํ”„ ๋™์ž‘ ์‹œ๊ฐ„์˜ ํ•œ๊ณ„๋ฅผ ๊ณ ๋ฆฌ ์ฆํญ๊ธฐ ๊ธฐ๋ฐ˜ ์—ฐ์† ์‹œ๊ฐ„ ๋น„๊ต๊ธฐ๋ฅผ ํ†ตํ•ด ํ•ด๊ฒฐํ•œ๋‹ค. ๋˜ํ•œ ์ž๋ฆฌ ์ด๋™ ๋ ˆ์ง€์Šคํ„ฐ์˜ ๊ตฌํ˜„์— ์†Œ๋ชจ๋˜๋Š” ๋น„์šฉ์„ ์ค„์ด๊ณ ์ž ๊ฐ ๋ ˆ์ง€์Šคํ„ฐ์˜ ์ œ์–ด ์žฅ์น˜๋ฅผ ์ค‘์•™์œผ๋กœ ์ง‘์ ์‹œํ‚จ ์ˆœํ™˜ํ˜• ๊ตฌ์กฐ๋กœ ์„ค๊ณ„๋˜์—ˆ๋‹ค. ๋งˆ์ง€๋ง‰์œผ๋กœ ๋‚จ์•„์žˆ๋Š” ์กฐ์ • ์—๋Ÿฌ๋Š” ์ ์‘๋ฐฉ์‹์˜ ์ถ•์ฐจ ๋น„๊ตํ˜• ์•Œ๊ณ ๋ฆฌ์ฆ˜์œผ๋กœ ์ œ์–ดํ•˜์—ฌ ๊ต์ •์— ํ•„์š”ํ•œ ์‹œ๊ฐ„์„ ์ตœ์†Œํ™”ํ•˜์˜€๋‹ค. 40-nm CMOS ๊ณต์ •์œผ๋กœ ๊ตฌํ˜„๋œ ํ”„๋กœํ† ํƒ€์ž… ์นฉ์˜ ์ธก์ •์„ ํ†ตํ•ด ๋ถ€ํ•˜ ์ „์••์˜ ๋น ๋ฅธ ํšŒ๋ณต ์†๋„์™€ ์ •์ •์‹œ๊ฐ„์„ ๋ณด์ž„์„ ํ™•์ธํ•˜์˜€๋‹ค. ๋‘ ๋ฒˆ์งธ ์‹œ์—ฐ์œผ๋กœ์„œ, ์ดˆ๊ณ ์† ๊ณผ๋„ ์‘๋‹ต ํ™˜๊ฒฝ์— ์ ํ•ฉํ•œ ๋””์ง€ํ„ธ ๋‚ฎ์€ ๋“œ๋กญ์•„์›ƒ ๋ ˆ๊ทค๋ ˆ์ดํ„ฐ๊ฐ€ ์„ค๊ณ„๋˜์—ˆ๋‹ค. ์ˆ˜์‹ญ~์ˆ˜๋ฐฑ ๋ฉ”๊ฐ€ํ—ค๋ฅด์ฏ” ํด๋ฝ์˜ ์ƒ์Šน ๋˜๋Š” ํ•˜๊ฐ• ์—ฃ์ง€๋งˆ๋‹ค ๋ฐœ์ƒํ•˜๋Š” ๋ถ€ํ•˜ ์ „๋ฅ˜ ๋ณ€ํ™”๋ฅผ ํƒ์ง€ํ•˜๊ณ  ๋ณด์ƒํ•˜๊ณ  ์ •์ •ํ•˜๊ธฐ ์œ„ํ•ด ๊ธฐ์šธ๊ธฐ ํƒ์ง€๊ธฐ ๊ธฐ๋ฐ˜ coarse ์ œ์–ด๊ธฐ ๊ธฐ์ˆ ์„ ์ œ์•ˆํ•œ๋‹ค. ์‹œ๊ฐ„์— ๋”ฐ๋ฅธ ๋ถ€ํ•˜ ์ „์•• ๋ณ€ํ™”์˜ ์ •๋„์— ๋”ฐ๋ผ ์ฐจ๋“ฑ ๋ณด์ƒํ•˜๋Š” ์•Œ๊ณ ๋ฆฌ์ฆ˜์„ ์ ์šฉํ•จ์œผ๋กœ์จ ๋ณด์ƒ ํšจ์œจ์„ ๋†’์˜€๋‹ค. ๋‚˜์•„๊ฐ€ ์ˆœ๋žŒํ‘œ ๊ธฐ๋ฐ˜ ์ž๋ฆฌ์ด๋™ ๋ ˆ์ง€์Šคํ„ฐ๋Š” ๋ถ€ํ•˜ ์ „๋ฅ˜ ๊ณผ๋„ ์ƒํƒœ ์ดํ›„ ๋””์ง€ํƒˆ ๋ ˆ๊ทค๋ ˆ์ดํ„ฐ์˜ ๋น ๋ฅธ ๋ฃจํ”„ ์‘๋‹ต ์†๋„๋ฅผ ๊ฐ€๋Šฅ์ผ€ ํ•˜์˜€๋‹ค. ๋งˆ์ง€๋ง‰์œผ๋กœ ๋‚จ์€ ์กฐ์ • ์—๋Ÿฌ๋ฅผ ์ œ์–ดํ•˜๋Š”๋ฐ ์žˆ์–ด์„œ ๊ธฐ์กด ์ž๋ฆฌ์ด๋™ ๋ ˆ์ง€์Šคํ„ฐ ๋ฐฉ์‹์—์„œ ๋ฒ—์–ด๋‚˜ ๋น ๋ฅธ ์ˆ˜๋ ด ์†๋„์™€ ๋†’์€ ํ•ด์ƒ๋„๋ฅผ ๊ฐ€์ง€๋Š” ์–‘๋ฐฉํ–ฅ ๋ž˜์น˜ ๊ธฐ๋ฐ˜ ๋“œ๋ผ์ด๋ฒ„๊ฐ€ ์ œ์•ˆ๋˜์—ˆ๋‹ค. ํ•ด๋‹น ํ”„๋กœํ† ํƒ€์ž… ์นฉ์€ 40-nm CMOS ๊ณต์ •์œผ๋กœ ๊ตฌํ˜„๋˜์—ˆ์œผ๋ฉฐ, ๋‚ฎ์€ ๋ถ€ํ•˜ ์ถ•์ „์šฉ๋Ÿ‰์—๋„ ๋น ๋ฅธ ๊ณผ๋„ ์‘๋‹ต ์„ฑ๋Šฅ์„ ํ†ตํ•ด ํšจ๊ณผ์ ์ธ ๋ถ€ํ•˜ ์ „์•• ํšŒ๋ณต์„ ์ด๋ฃจ์–ด ๋‚ด์—ˆ๋‹ค.CHAPTER 1 INTRODUCTION 1 1.1 MOTIVATION 1 1.2 VARIOUS TYPES OF LDO 4 1.2.1 ANALOG LDO VS. DIGITAL LDO 4 1.2.2 CAP LDO VS. CAP-LESS LDO 6 1.3 THESIS ORGANIZATION 8 CHAPTER 2 BACKGROUNDS ON DIGITAL LOW-DROPOUT REGULATOR 9 2.1 BASIC DIGITAL LOW-DROPOUT REGULATOR 9 2.2 FAST TRANSIENT RESPONSE LOW-DROPOUT REGULATOR 12 2.2.1 RESPONSE TIME 13 2.2.1 SETTLING TIME 20 2.3 VARIOUS METHODS FOR IMPLEMENT FAST TRANSIENT DIGITAL LDO 21 2.3.1 EVENT-DRIVEN DIGITAL LDO 21 2.3.2 FEEDFORWARD CONTROL 23 2.3.3 COMPUTATIONAL DIGITAL LDO 25 2.4 DESIGN POINTS OF FAST TRANSIENT RESPONSE DIGITAL LDO 27 CHAPTER 3 A FAST DROOP-RECOVERY EVENT-DRIVEN DIGITAL LDO WITH ADAPTIVE LINEAR/BINARY TWO-STEP SEARCH FOR VOLTAGE REGULATION IN ADVANCED MEMORY 29 3.1 OVERVIEW 29 3.2 PROPOSED DIGITAL LDO 32 3.2.1 MOTIVATION 32 3.2.2 ALSC WITH TWO-DIMENSIONAL CIRCULAR SHIFTING REGISTER 36 3.2.3 SBSC WITH SUBRANGE SUCCESSIVE-APPROXIMATION REGISTER 39 3.2.4 STABILITY ANALYSIS 41 3.3 CIRCUIT IMPLEMENTATION 44 3.3.1 TIME-INTERLEAVED RING-AMPLIFIER-BASED COMPARATOR 44 3.3.2 ASYNCHRONOUS 2D CIRCULAR SHIFTING REGISTER 49 3.3.3 SUBRANGE SUCCESSIVE APPROXIMATION REGISTER 51 3.4 MESUREMENT RESULTS 54 CHAPTER 4 A FAST TRANSIENT RESPONSE DIGITAL LOW-DROPOUT REGULATOR WITH SLOPE-DETECTOR-BASED MULTI-STEP CONTROL FOR DIGITAL LOAD APPLICATION 62 4.1 OVERVIEW 62 4.2 PROPOSED DIGITAL LDO 64 4.2.1 MOTIVATION 64 4.2.2 ARCHITECTURE OF DIGITAL LDO 66 4.2.3 SLEW-RATE DEPENDENT COARSE-CONTROL LOOP 69 4.2.4 FINE-CONTROL LOOP 72 4.2.5 CONTROL FOR LOAD-TRANSIENT RESPONSE 74 4.3 CIRCUIT IMPLEMENTATION 77 4.3.1 COMPARATOR-TRIGGERED OSCILLATOR DESIGN 77 4.3.2 SLOPE DETECTOR DESIGN 81 4.3.3 LUT-BASED SHIFT REGISTER DESIGN 84 4.3.4 BI-DIRECTIONAL LATCH-BASED DRIVER DESIGN 86 4.4 MEASUREMENT(SIMULATION) RESULTS 90 CHAPTER 5 CONCLUSION 95 BIBLIOGRAPHY 97 ์ดˆ ๋ก 109๋ฐ•

    Ultra-Low Power Transmitter and Power Management for Internet-of-Things Devices

    Get PDF
    Two of the most critical components in an Internet-of-Things (IoT) sensing and transmitting node are the power management unit (PMU) and the wireless transmitter (Tx). The desire for longer intervals between battery replacements or a completely self-contained, battery-less operation via energy harvesting transducers and circuits in IoT nodes demands highly efficient integrated circuits. This dissertation addresses the challenge of designing and implementing power management and Tx circuits with ultra-low power consumption to enable such efficient operation. The first part of the dissertation focuses on the study and design of power management circuits for IoT nodes. This opening portion elaborates on two different areas of the power management field: Firstly, a low-complexity, SPICE-based model for general low dropout (LDO) regulators is demonstrated. The model aims to reduce the stress and computation times in the final stages of simulation and verification of Systems-on-Chip (SoC), including IoT nodes, that employ large numbers of LDOs. Secondly, the implementation of an efficient PMU for an energy harvesting system based on a thermoelectric generator transducer is discussed. The PMU includes a first-in-its-class LDO with programmable supply noise rejection for localized improvement in the suppression. The second part of the dissertation addresses the challenge of designing an ultra- low power wireless FSK Tx in the 900 MHz ISM band. To reduce the power consumption and boost the Tx energy efficiency, a novel delay cell exploiting current reuse is used in a ring-oscillator employed as the local oscillator generator scheme. In combination with an edge-combiner PA, the Tx showed a measured energy efficiency of 0.2 nJ/bit and a normalized energy efficiency of 3.1 nJ/(bitโˆ™mW) when operating at output power levels up to -10 dBm and data rates of 3 Mbps. To close this dissertation, the implementation of a supply-noise tolerant BiCMOS ring-oscillator is discussed. The combination of a passive, high-pass feedforward path from the supply to critical nodes in the selected delay cell and a low cost LDO allow the oscillator to exhibit power supply noise rejection levels better than โ€“33 dB in experimental results

    A Ringamp-Assisted, Output Capacitor-less Analog CMOS Low-Dropout Voltage Regulator

    Get PDF
    Continued advancements in state-of-the-art integrated circuits have furthered trends toward higher computational performance and increased functionality within smaller circuit area footprints, all while improving power efficiencies to meet the demands of mobile and battery-powered applications. A significant portion of these advancements have been enabled by continued scaling of CMOS technology into smaller process node sizes, facilitating faster digital systems and power optimized computation. However, this scaling has degraded classic analog amplifying circuit structures with reduced voltage headroom and lower device output resistance; and thus, lower available intrinsic gain. This work investigates these trends and their impact for fine-grain Low-Dropout (LDO) Voltage Regulators, leading to a presented design methodology and implementation of a state-of-the-art Ringamp-Assisted, Output Capacitor-less Analog CMOS LDO Voltage Regulator capable of both power scaling and process node scaling for general SoC applications

    Design methodology for reliable and energy efficient self-tuned on-chip voltage regulators

    Get PDF
    The energy-efficiency needs in computing systems, ranging from high performance processors to low-power devices is steadily on the rise, resulting in increasing popularity of on-chip voltage regulators (VR). The high-frequency and high bandwidth on-chip voltage regulators such as Inductive voltage regulators (IVR) and Digital Low Dropout regulators (DLDO) significantly enhance the energy-efficiency of a SoC by reducing supply noise and enabling faster voltage transitions. However, IVRs and DLDOs need to cope with the higher variability that exists in the deep nanometer digital nodes since they are fabricated on the same die as the digital core affecting performance of both the VR and digital core. Moreover, in most modern SoCs where multiple power domains are preferred, each VR needs to be designed and optimized for a target load demand which significantly increases the design time and time to market for VR assisted SoCs. This thesis investigates a performance-based auto-tuning algorithm utilizing performance of digital core to tune VRs against variations and improve performance of both VR and the core. We further propose a fully synthesizable VR architecture and an auto-generation tool flow that can be used to design and optimize a VR for given target specifications and auto-generate a GDS layout. This would reduce the design time drastically. And finally, a flexible precision IVR architecture is also explored to further improve transient performance and tolerance to process variations. The proposed IVR and DLDO designs with an AES core and auto-tuning circuits are prototyped in two testchips in 130nm CMOS process and one test chip in 65nm CMOS process. The measurements demonstrate improved performance of IVR and AES core due to performance-based auto-tuning. Moreover, the synthesizable architectures of IVR and DLDO implemented using auto-generation tool flow showed competitive performance with state of art full custom designs with orders of magnitude reduction in design time. Additional improvement in transient performance of IVR is also observed due to the flexible precision feedback loop design.Ph.D

    Modeling, Design and Optimization of IC Power Delivery with On-Chip Regulation

    Get PDF
    As IC technology continues to follow the Mooreโ€™s Law, IC designers have been constantly challenged with power delivery issues. While useful power must be reliably delivered to the on-die functional circuits to fulfill the desired functionality and performance, additional power overheads arise due to the loss associated with voltage conversion and parasitic resistance in the metal wires. Hence, one of the key IC power delivery design challenges is to develop voltage conversion/regulation circuits and the corresponding design strategies to provide a guaranteed level of power integrity while achieving high power efficiency and low area overhead. On-chip voltage regulation, a significant ongoing design trend, offers appealing active supply noise suppression close to the loads and is well positioned to address many power delivery challenges. However, to realize the full potential of on-chip voltage regulation requires systemic optimization of and tradeoffs among settling time, steady-state error, power supply noise, power efficiency, stability and area overhead, which are the key focuses of this dissertation. First, we develop new low-dropout voltage regulators (LDOs) that are well optimized for low power applications. To this end, dropout voltage, bias current and speed are important competing design objectives. This dissertation presents new flipped voltage follower (FVF) based topologies of on-chip voltage regulators that handle ultra-fast load transients in nanoseconds while achieving significant improvement on bias current consumption. An active frequency compensation is embedded to achieve high area efficiency by employing a smaller amount of compensation capacitors, the major silicon area contributor. Furthermore, in one of the proposed topologies an auxiliary digital feedback loop is employed in order to lower quiescent power consumption further. Second, coping with supply noise is becoming increasingly more difficult as design complexity grows, which leads to increased spatial and temporal load heterogeneity, and hence larger voltage variations in a given power domain. Addressing this challenge through a distributed methodology wherein multiple voltage regulators are placed across the same voltage domain is particularly promising. This distributive nature allows for even faster suppression of multiple hot spots by the nearby regulators within the power domain and can significantly boost power integrity. Nevertheless, reasoning about the stability of such distributively regulated power networks becomes rather complicated as a result of complex interactions between multiple active regulators and the large passive subnetwork. Coping with this stability challenge requires new theory and stability-ensuring design practice, as targeted by this dissertation. For the first time, we adopt and develop a hybrid stability framework for large power delivery networks with distributed voltage regulation. This framework is local in the sense that both the checking and assurance of network stability can be dealt with on the basis of each individual voltage regulator, leading to feasible design of large power delivery networks that would be computationally impossible otherwise. Accordingly, we propose a new hybrid stability margin concept, examine its tradeoffs with power efficiency, supply noise and silicon area, and demonstrate the resulted key design implications pertaining to new stability-ensuring LDO circuit design techniques and circuit topologies. Finally, we develop an automated hybrid stability design flow that is computationally efficient and provides a practical guarantee of network stability

    Power Management ICs for Internet of Things, Energy Harvesting and Biomedical Devices

    Get PDF
    This dissertation focuses on the power management unit (PMU) and integrated circuits (ICs) for the internet of things (IoT), energy harvesting and biomedical devices. Three monolithic power harvesting methods are studied for different challenges of smart nodes of IoT networks. Firstly, we propose that an impedance tuning approach is implemented with a capacitor value modulation to eliminate the quiescent power consumption. Secondly, we develop a hill-climbing MPPT mechanism that reuses and processes the information of the hysteresis controller in the time-domain and is free of power hungry analog circuits. Furthermore, the typical power-performance tradeoff of the hysteresis controller is solved by a self-triggered one-shot mechanism. Thus, the output regulation achieves high-performance and yet low-power operations as low as 12 ยตW. Thirdly, we introduce a reconfigurable charge pump to provide the hybrid conversion ratios (CRs) as 1โ…“ร— up to 8ร— for minimizing the charge redistribution loss. The reconfigurable feature also dynamically tunes to maximum power point tracking (MPPT) with the frequency modulation, resulting in a two-dimensional MPPT. Therefore, the voltage conversion efficiency (VCE) and the power conversion efficiency (PCE) are enhanced and flattened across a wide harvesting range as 0.45 to 3 V. In a conclusion, we successfully develop an energy harvesting method for the IoT smart nodes with lower cost, smaller size, higher conversion efficiency, and better applicability. For the biomedical devices, this dissertation presents a novel cost-effective automatic resonance tracking method with maximum power transfer (MPT) for piezoelectric transducers (PT). The proposed tracking method is based on a band-pass filter (BPF) oscillator, exploiting the PTโ€™s intrinsic resonance point through a sensing bridge. It guarantees automatic resonance tracking and maximum electrical power converted into mechanical motion regardless of process variations and environmental interferences. Thus, the proposed BPF oscillator-based scheme was designed for an ultrasonic vessel sealing and dissecting (UVSD) system. The sealing and dissecting functions were verified experimentally in chicken tissue and glycerin. Furthermore, a combined sensing scheme circuit allows multiple surgical tissue debulking, vessel sealer and dissector (VSD) technologies to operate from the same sensing scheme board. Its advantage is that a single driver controller could be used for both systems simplifying the complexity and design cost. In a conclusion, we successfully develop an ultrasonic scalpel to replace the other electrosurgical counterparts and the conventional scalpels with lower cost and better functionality

    Design of Analog & Mixed Signal Circuits in Continuous-Time Sigma-Delta Modulators for System-on-Chip applications

    Get PDF
    Software-defined radio receivers (SDRs) have become popular to accommodate multi-standard wireless services using a single chip-set solution in mobile telecommunication systems. In SDRs, the signal is down-converted to an intermediate frequency and then digitalized. This approach relaxes the specifications for most of the analog front-end building blocks by performing most of the signal processing in the digital domain. However, since the analog-to-digital converter (ADC) is located as close as possible to the antenna in SDR architectures, the ADC specification requirements are very stringent because a large amount of interference signals are present at the ADC input due to the removal of filtering blocks, which particularly affects the dynamic range (DR) specification. Sigma-delta (ฮฃฮ”) ADCs have several benefits such as low implementation cost, especially when the architecture contains mostly digital circuits. Furthermore, continuous-time (CT) ฮฃฮ” ADCs allow elimination of the antiโ€aliasing filter because input signals are sampled after the integrator. The bandwidth requirements for the amplifiers in CT ฮฃฮ” ADCs can be relaxed due to the continuous operation without stringing settling time requirements. Therefore, they are suitable for highโ€speed and lowโ€power applications. In addition, CT ฮฃฮ” ADCs achieve high resolution due to the ฮฃฮ” modulatorโ€™s noise shaping property. However, the in-band quantization noise is shaped by the analog loop filter and the distortions of the analog loop filter directly affect the system output. Hence, highly linear low-noise loop filters are required for high-performance ฮฃฮ” modulators. The first task in this research focused on using CMOS 90 nm technology to design and fabricate a 5^(TH)โ€“order active-RC loop filter with a cutoff frequency of 20 MHz for a low pass (LP) CT ฮฃฮ” modulator. The active-RC topology was selected because of the high DR requirement in SDR applications. The amplifiers in the first stage of the loop filter were implemented with linearization techniques employing anti-parallel cancellation and source degeneration in the second stage of the amplifiers. These techniques improve the third-order intermodulation (IM3) by approximately 10 dB; while noise, area, and power consumption do not increase by more than 10%. Second, a current-mode adder-flash ADC was also fabricated as part of a LP CT ฮฃฮ” modulator. The new current-mode operation developed through this research makes possible a 53% power reduction. The new technology also lessens existing problems associated with voltage-mode flash ADCs, which are mainly related to voltage headroom restrictions, speed of operation, offsets, and power efficiency of the latches. The core of the current-mode adder-flash ADC was fabricated in CMOS 90 nm technology with 1.2 V supply; it dissipates 3.34 mW while operating at 1.48 GHz and consumes a die area of 0.0276 mm^(2). System-on chip (SoC) solutions are becoming more popular in mobile telecommunication systems to improve the portability and competitiveness of products. Since the analog/RF and digital blocks often share the same external power supply in SoC solutions, the on-chip generation of clean power supplies is necessary to avoid system performance degradation due to supply noises. Finally, the critical design issues for external capacitor-less low drop-out (LDO) regulators for SoC applications are addressed in this dissertation, especially the challenges related to power supply rejection at high frequencies as well as loop stability and transient response. The paths of the power supply noise to the LDO output were analyzed, and a power supply noise cancellation circuit was developed. The power supply rejection (PSR) performance was improved by using a replica circuit that tracks the main supply noise under process-voltage-temperature variations and all operating conditions. Fabricated in a 0.18 ฮผm CMOS technology with 1.8 V supply, the entire proposed LDO consumes 55 ฮผA of quiescent current while in standby operation, and it has a drop-out voltage of 200 mV when providing 50 mA to the load. Its active core chip area is 0.14 mm2. Compared to a conventional uncompensated LDO, the proposed architecture presents a PSR improvement of 34 dB and 25 dB at 1 MHz and 4 MHz, respectively

    Efficient and Scalable Computing for Resource-Constrained Cyber-Physical Systems: A Layered Approach

    Get PDF
    With the evolution of computing and communication technology, cyber-physical systems such as self-driving cars, unmanned aerial vehicles, and mobile cognitive robots are achieving increasing levels of multifunctionality and miniaturization, enabling them to execute versatile tasks in a resource-constrained environment. Therefore, the computing systems that power these resource-constrained cyber-physical systems (RCCPSs) have to achieve high efficiency and scalability. First of all, given a fixed amount of onboard energy, these computing systems should not only be power-efficient but also exhibit sufficiently high performance to gracefully handle complex algorithms for learning-based perception and AI-driven decision-making. Meanwhile, scalability requires that the current computing system and its components can be extended both horizontally, with more resources, and vertically, with emerging advanced technology. To achieve efficient and scalable computing systems in RCCPSs, my research broadly investigates a set of techniques and solutions via a bottom-up layered approach. This layered approach leverages the characteristics of each system layer (e.g., the circuit, architecture, and operating system layers) and their interactions to discover and explore the optimal system tradeoffs among performance, efficiency, and scalability. At the circuit layer, we investigate the benefits of novel power delivery and management schemes enabled by integrated voltage regulators (IVRs). Then, between the circuit and microarchitecture/architecture layers, we present a voltage-stacked power delivery system that offers best-in-class power delivery efficiency for many-core systems. After this, using Graphics Processing Units (GPUs) as a case study, we develop a real-time resource scheduling framework at the architecture and operating system layers for heterogeneous computing platforms with guaranteed task deadlines. Finally, fast dynamic voltage and frequency scaling (DVFS) based power management across the circuit, architecture, and operating system layers is studied through a learning-based hierarchical power management strategy for multi-/many-core systems

    Custom Integrated Circuit Design for Portable Ultrasound Scanners

    Get PDF
    corecore