22 research outputs found

    Evaluation of Bosch processing at cryogenic temperatures

    No full text
    Bosch processing is an etching technique extensively used in the semiconductor industry towards the obtention of deep aspect ratio features which are necessary for MEMS and TSV applications [1,2]. The process consists in the repetition of an isotropic etching step using SF6 plasma followed by a C4F8 plasma step to passivate the trench sidewalls in order to achieve anisotropic profiles [2,3]. Although other techniques can be used towards deep silicon etching, such as standard cryoetching and the STiGer process, Bosch process offers less constraints as it is performed at ambient temperature and is very robust [1]. However, the main difficulty of this process is the gradual accumulation of fluorocarbonated species on the reactor sidewalls which leads to process deviations. Subsequently, different solutions have been developed to increase process stability and reduce the occurrence of reactor cleanings such as the development of heater liners on the reactor edges to heat the sidewalls above the condensation temperature of the fluorocarbonated polymers formed during passivation steps [4]. In comparison, cryogenic processes present the advantage of enhanced process stability as the reactive species mainly interact with the cooled substrate due to its cryogenic temperature. However, there is no significant study which attests of the impact of performing Bosch process at cryogenic temperatures and the impact of temperature in general on the etching profiles.In this research study, a given set of Bosch process parameters were performed at different temperatures to evaluate the evolution of the etching profile. It will be shown that Bosch process is effectively temperature dependent and that the necessary C4F8 passivating gas flow can be significantly reduced at cryogenic temperatures towards the obtention of anisotropic profiles (Fig. 1).Figure 1: Comparison of two etching profiles obtained with the same Bosch process parameters at different temperatures (Fig 1.a: T = -100°C and Fig 1.b: T = +20°C).Furthermore, a slight increase of the etch rate is observed at lower temperatures although it strengthens aspect ratio dependent etching (ARDE). A comprehensive study on the influence of temperature on the formation and properties of the flurorocarbonated passivation layer will be shown. Consequently, additional tests will be presented to evaluate whether the reduction of the necessary C4F8 gas flow at lower temperatures effectively results in the extension of process stability.The study was carried out using a cryogenic ICP reactor equipped with an in-situ ellipsometer. The tests were performed on Si coupons with a 1 μm surface SiO2 hard-mask layer. The hard-mask pattern consisted of trenches from 2 to 10 μm wide. These coupons were sticked on SiO2 4” carrier wafers using a specific thermal glue used for cryogenic process tests.This research project is supported by the CERTeM 2020 platform, which provides most of the equipment and funded by the European Union (FEDER fund) as well as the French National Research Agency (ANR PSICRYO fund).References 1. T. Tillocher, J. Nos, G. Antoun, P. Lefaucheux, M. Boufnichel, and R. Dussart, Comparison between Bosch and STiGer Processes for Deep Silicon Etching, Micromachines 12, 1143 (2021).2. M. A. Blauw, T. Zijlstra, and E. van der Drift, Balancing the Etching and Passivation in Time-Multiplexed Deep Dry Etching of Silicon, J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 19, 2930 (2001).3. F. Laemer, and A. Schilp, Method for Anisotropic Plasma Etching of Substrates, U.S. Patent 5498312A (1996).4. M. Puech, Heating Jacket for Plasma Etching Reactor, and Etching Method Using Same, U.S. Patent 0224178A1 (2005)

    Cryo-ALE of Si and SiO2 using SF6 Physisorption

    No full text
    Cryogenic Atomic Layer Etching (Cryo-ALE) using C4F8 as a precursor gas has beenpreviously presented as an alternative method to achieve ALE of SiO2. In this process, C4F8 isinjected in gas phase during the “chemical modification” step, in order to physisorb on a cooledSiO2 surface. The etching step is then achieved using an Ar plasma with a low energy ionbombardment. The temperature window of this process was between -120°C and -90°C 1,2.However, C4F8 injection at cryogenic temperatures does not allow high etching selectivity ofSiO2 over Si and Si3N4 as the deposited CFx passivation layer is not thick enough to efficientlypassivate Si and Si3N4 surfaces. As a result, another gas chemistry has to be tested in order toachieve higher etching selectivity.In 1996, Royer et al. studied the chemisorption of sulfur and fluorine on Si during asimultaneous exposure to SF6 gas and Ne+ ion beam. In this work, they showed by XPSmeasurements that the fluorine quantity on the Si surface tends to increase as the temperaturedecreases, for a process window between 20°C and -130°C 3. Therefore cryo-ALE study basedon SF6 physisorption was carried out to extend the use of this alternative approach to other materials.This work was carried out using a cryogenic ICP reactor equipped with in-situ diagnostics.Mass spectrometry measurements enabled to characterize the SF6 physisorption and its surfaceresidence time at different temperatures. Spectroscopic ellipsometry was used to monitor theetching rate and to characterize the sample surface at the nanoscale during the three processsteps: SF6 physisorption, pumping and Ar plasma etching. Tests were performed on SiO2, Si3N4and p-Si coupons glued on SiO2 6” carrier wafers.SF6 physisorption experiments will first be studied and presented notably to find the optimaltemperature and purging time for the process. Subsequently, cryo-ALE test results on Si, SiO2and Si3N4 will be shown. These results will finally be compared to the ones obtained previouslyusing C4F8 physisorption.This research project is supported by the CERTeM 2020 platform, which provides most of theequipment and funded by the European Union (FEDER fund) as well as the French NationalResearch Agency (ANR PSICRYO fund).1. Antoun et al., Appl. Phys. Lett. 115, 153109, 20192. Antoun et al., Sci. Rep. 10, 20213. Royer et al., J. Vac. Sci. Technol. A 14, 234–239, 199

    n-Type CVD diamond: Epitaxy and doping

    No full text
    International audienc

    High aspect ratio etched sub-micron structures in silicon obtained by cryogenic plasma deep-etching through perforated polymer thin films

    No full text
    Cryogenic plasma deep-etching for silicon sub-micron structures was studied with the use of modified poly(styrene) (PS) perforated masks obtained from laterally phase separated PS and poly (lactic acid) PLA blend thin films. PS mask was stained by heavy metal (ruthenium) or transferred to an intermediate hard mask (silicon oxide). For the stained mask, optimization of standard STiGer cryogenic plasma etching process led to etched Si cavities with minimal defects at rate of 0.8 μm/min but within a limited depth (~1.4 μm). For intermediate hard mask, optimized STiGer etching process was used in order to improve the reproducibility and to obtain the deeply etched features up to 10 μm depth with minimal defects. A higher etch rate of around 1.2 μm/min was achieved. Keywords: Polymer mask, STiGer process, Cryogenic etching, Sub-micron hole etchin
    corecore