45 research outputs found

    Fabrication of submicrometer InP pillars by colloidal lithography and dry etching

    Get PDF
    A simple method for the fabrication of submicrometer InP pillars with large surface area coverage has been developed based on a combination of colloidal lithography and inductively coupled plasma (ICP) etching technique using Cl 2/H2/CH4/Ar chemistry. Pillars with different sizes could be fabricated by using colloidal SiO2 particles with different sizes dispersed on the sample serving as masks. Pillars with lateral diameters as small as 60 nm and aspect ratios as high as 10:1 have been obtained. The effects of etch parameters such as radio-frequency power, ICP power, and etching time on pillar fabrication are investigated. By a suitable choice of etch parameters and utilizing erosion of colloidal (mask) SiO 2 particle during etching, the height of the pillars as well as their shape can be modified from nearly cylindrical to conical shapes. Such a control on the shape of the structures in addition to the large surface coverage could be useful for applications in photovoltaics and for the fabrication of photonic crystals. For instance, continuous grading of the refractive index can be obtained for surfaces covered with conical pillars, which can be used as antireflecting surfaces in solar cells or for light extraction in light emitting diodes

    Enhanced luminescence from GaN nanopillar arrays fabricated using a top-down process

    Get PDF
    We report the fabrication of GaN nanopillar arrays with good structural uniformity using the top-down approach. The photoluminescence intensity from the nanopillar arrays is enhanced compared to the epilayer. We use finite difference time domain simulations to show that the enhancement in photoluminescence intensity from the nanopillar arrays is a result of anti-reflection properties of the arrays that result in enhanced light absorption and increase light extraction efficiency compared to the epilayer. The measured quantum efficiency of the nanopillars is comparable to that of an epitaxially grown GaN epilayer.ARC grant DP140103278 (2014-2016) - H.H. Tan, Nitride-based Compound Semiconductors for Solar Water Splittin

    Comment : Utopianism and Communitarianism

    Get PDF
    千葉大学公共研究センター21世紀プログラム「持続可能な福祉社会に向けた公共研究拠点

    Top-down Fabrication Technologies for High Quality III-V Nanostructures

    No full text
    III-V nanostructures have attracted substantial research effort due to their interesting physical properties and their applications in new generation of ultrafast and high efficiency nanoscale electronic and photonic components. The advances in nanofabrication methods including growth/synthesis have opened up new possibilities of realizing one dimensional (1D) nanostructures as building blocks of future nanoscale devices. For processing of semiconductor nanostructure devices, simplicity, cost effectiveness, and device efficiency are key factors. A number of methods are being pursued to fabricate high quality III-V nanopillar/nanowires, quantum dots and nano disks. Further, high optical quality nanostructures in these materials together with precise control of shapes, sizes and array geometries make them attractive for a wide range of optoelectronic/photonic devices. This thesis work is focused on top-down approaches for fabrication of high optical quality nanostructures in III-V materials. Dense and uniform arrays of nanopillars are fabricated by dry etching using self-assembly of colloidal SiO2 particles for masking. The physico-chemistry of etching and the effect of etch-mask parameters are investigated to control the shape, aspect ratios and spatial coverage of the nanopillar arrays. The optimization of etch parameters and the utilization of erosion of etch masks is evaluated to obtain desired pillar shapes from cylindrical to conical. Using this fabrication method, high quality nanopillar arrays were realized in several InP-based and GaAs-based structures, including quantum wells and multilayer heterostructures. Optical properties of these pillars are investigated using different optical spectroscopic techniques. These nanopillars, single and in arrays, show excellent photoluminescence (PL) at room temperature and the measured PL line-widths are comparable to the as-grown wafer, indicating the high quality of the fabricated nanostructures. The substrate-free InP nanopillars have carrier life times similar to reference epitaxial layers, yet an another indicator of high material quality. InGaAs layer, beneath the pillars is shown to provide several useful functions. It effectively blocks the PL from the InP substrate, serves as a sacrificial layer for generation of free pillars, and as a “detector” in cathodoluminescence (CL) measurements. Diffusion lengths independently determined by time resolved photoluminescence (TRPL) and CL measurements are consistent, and carrier feeding to low bandgap InGaAs layer is evidenced by CL data. Total reflectivity measurements show that nanopillar arrays provide broadband antireflection making them good candidates for photovoltaic applications.  A novel post etch, sulfur-oleylamine (S-OA) based chemical process is developed to etch III-V materials with monolayer precision, in an inverse epitaxial manner along with simultaneous surface passivation. The process is applied to push the limits of top-down fabrication and InP-based high optical quality nanowires with aspect ratios more than 50, and nanostructures with new topologies (nanowire meshes and in-plane wires) are demonstrated.  The optimized process technique is used to fabricate nanopillars in InP-based multilayers (InP/InGaAsP/InP and InP/InGaAs/InP). Such multilayer nanopillars are not only attractive for broad-band absorption in solar cells, but are also ideal to generate high optical quality nanodisks of these materials. Finally, the utility of a soft stamping technique to transfer free nanopillars/wires and nanodisks onto Si substrate is demonstrated. These nanostructures transferred onto Si with controlled densities, from low to high, could provide a new route for material integration on Si.QC 20130205</p

    Top-down Fabrication Technologies for High Quality III-V Nanostructures

    No full text
    III-V nanostructures have attracted substantial research effort due to their interesting physical properties and their applications in new generation of ultrafast and high efficiency nanoscale electronic and photonic components. The advances in nanofabrication methods including growth/synthesis have opened up new possibilities of realizing one dimensional (1D) nanostructures as building blocks of future nanoscale devices. For processing of semiconductor nanostructure devices, simplicity, cost effectiveness, and device efficiency are key factors. A number of methods are being pursued to fabricate high quality III-V nanopillar/nanowires, quantum dots and nano disks. Further, high optical quality nanostructures in these materials together with precise control of shapes, sizes and array geometries make them attractive for a wide range of optoelectronic/photonic devices. This thesis work is focused on top-down approaches for fabrication of high optical quality nanostructures in III-V materials. Dense and uniform arrays of nanopillars are fabricated by dry etching using self-assembly of colloidal SiO2 particles for masking. The physico-chemistry of etching and the effect of etch-mask parameters are investigated to control the shape, aspect ratios and spatial coverage of the nanopillar arrays. The optimization of etch parameters and the utilization of erosion of etch masks is evaluated to obtain desired pillar shapes from cylindrical to conical. Using this fabrication method, high quality nanopillar arrays were realized in several InP-based and GaAs-based structures, including quantum wells and multilayer heterostructures. Optical properties of these pillars are investigated using different optical spectroscopic techniques. These nanopillars, single and in arrays, show excellent photoluminescence (PL) at room temperature and the measured PL line-widths are comparable to the as-grown wafer, indicating the high quality of the fabricated nanostructures. The substrate-free InP nanopillars have carrier life times similar to reference epitaxial layers, yet an another indicator of high material quality. InGaAs layer, beneath the pillars is shown to provide several useful functions. It effectively blocks the PL from the InP substrate, serves as a sacrificial layer for generation of free pillars, and as a “detector” in cathodoluminescence (CL) measurements. Diffusion lengths independently determined by time resolved photoluminescence (TRPL) and CL measurements are consistent, and carrier feeding to low bandgap InGaAs layer is evidenced by CL data. Total reflectivity measurements show that nanopillar arrays provide broadband antireflection making them good candidates for photovoltaic applications.  A novel post etch, sulfur-oleylamine (S-OA) based chemical process is developed to etch III-V materials with monolayer precision, in an inverse epitaxial manner along with simultaneous surface passivation. The process is applied to push the limits of top-down fabrication and InP-based high optical quality nanowires with aspect ratios more than 50, and nanostructures with new topologies (nanowire meshes and in-plane wires) are demonstrated.  The optimized process technique is used to fabricate nanopillars in InP-based multilayers (InP/InGaAsP/InP and InP/InGaAs/InP). Such multilayer nanopillars are not only attractive for broad-band absorption in solar cells, but are also ideal to generate high optical quality nanodisks of these materials. Finally, the utility of a soft stamping technique to transfer free nanopillars/wires and nanodisks onto Si substrate is demonstrated. These nanostructures transferred onto Si with controlled densities, from low to high, could provide a new route for material integration on Si.QC 20130205</p

    Effect of hole shapes on the reliability of deeply-etched InP-based photonic crystal devices

    No full text
    We present systematic evaluation of the optical transmission characteristics of a set of photonic crystal waveguides (PhCWs) fabricated by two schemes. An optimized hole-reshaping process to obtain cylindrical holes was applied in one scheme and a comparison is made with as-etched PhCWs. The spectral characteristics of the transmission mini- stopband (MSB) in identical waveguides show that the reliability, in terms of spectral position and shape, of fabricated PhCWs using the hole reshaping process is significantly improved in comparison to the as-etched waveguides. The obtained MSB characteristics are attractive for coarse WDM filtering and wavelength selective mirrors

    High optical quality InP-based nanopillars fabricated by a top-down approach

    No full text
    Dense and uniform arrays of InP-based nanopillars were fabricated by dry etching using self-assembly of colloidal silica particles for masking. The pillars, both single and arrays, fabricated from epitaxially grown InP and InP/GaInAsP/InP quantum well structures show excellent photoluminescence (PL) even at room temperature. The measured PL line widths are comparable to the as-grown wafer indicating high quality fabricated pillars. A stamping technique enables transfer with arbitrary densities of the nanopillars freed from the substrate by selectively etching a sacrificial InGaAs layer

    Junction-type photonic crystal waveguides for notch- and pass-band filtering

    No full text
    Evolution of the mode gap and the associated transmission mini stop-band (MSB) as a function of photonic crystal (PhC) waveguide width is theoretically and experimentally investigated. The change of line-defect width is identified to be the most appropriate way since it offers a wide MSB wavelength tuning range. A high transmission narrow-band filter is experimentally demonstrated in a junction-type waveguide composed of two PhC waveguides with slightly different widths. The full width at half maximum is 5.6 nm; the peak transmission is attenuated by only ~5 dB and is ~20 dB above the MSBs. Additionally, temperature tuning of the filter were also performed. The results show red-shift of the transmission peak and the MSB edges with a gradient of dλ/dT = 0.1 nm/°C. It is proposed that the transmission MSBs in such junction-type cascaded PhC waveguides can be used to obtain different types of filters.This work was supported by the Swedish Research Council and the Swedish Strategic Research Foundation. N. Shahid and S. Naureen acknowledge Higher Education Commission, Pakistan for partially supporting their PhD studies

    High quality photonic crystal waveguide filters based on mode-gap effect

    No full text
    We demonstrate that the side-wall profiles of high-aspect-ratio two-dimensional (2D) photonic crystals (PhCs) in InP-based materials can be made vertical by reshaping through annealing. The annealing reduces depth and shape irregularities which are inherent to the etch-process. The efficacy of the reshaping is demonstrated by comparing the optical properties of PhC waveguides having as-etched and reshaped PhC-hole geometries. Spectral characteristics of ministop-bands (MSBs), due to coupling of third and fifth order modes with the fundamental mode, are used to qualify PhC fabrication. We demonstrate high optical quality filters based on the MSB effect (first and fifth order modes) and also use the spectral characteristics as a quality indicator of PhC fabrication. The MSBs transmission spectrum shows very sharp cut-offs for reshaped PhC waveguides. It is proposed that the reshaping process using annealing may also be beneficial for other PhC devices, nanostructure geometries and materials

    InP-based photonic crystal waveguide filters

    No full text
    corecore