17 research outputs found

    An Optical Content Addressable Memory Cell for Address Look-Up at 10 Gb/s

    Get PDF

    Αρχιτεκτονικές και απόδοση υπολογιστικών συστημάτων με χρήση οπτικών τεχνολογιών διασύνδεσης και οπτικής μνήμης

    No full text
    This thesis proposes new optical systems that exploit Optical Interconnect and Optical Memory Technologies and synergizes them with processors in innovative Computing Architectures for increasing bandwidth and reducing energy consumption. The novel optically-enabled concepts proposed in this thesis are spanning from complete High Performance Computing network environments down to chip-scale multi-core computing architectures. At first, OptoHPC-Sim simulation platform is demonstrated which supports the system‑scale utilization of novel electro‑optical boards and routing technologies in complete and fully operational High Performance Computing network architectures. By using OptoHPC-Sim, an optical board-based High Performance Computing network architecture is proposed with the respective comparative simulation analysis demonstrating up to 190% mean throughput improvement and 83% mean packet delay reduction compared to world’s #3 Titan CRAY XK7 High Performance Computer network configuration. Extending the use of optics from complete High Performance Computing to chip-level environments, this thesis demonstrates via physical layer simulations a complete optical cache memory layout that operates at speeds up to 16 Gb/s, which is significantly faster compared to any of the conventional technologies. The proposed cache memory layout offers a significant leap forward for optical memory technologies that by so far have been restricted to single-bit capacity layouts. This pioneering design of an optical memory layout is demonstrated to perform successfully in both Write and Read functionalities at 16 Gb/s via optical physical layer simulations using the commercially available VPI software tool. Going a step further towards highlighting the benefits of the proposed optical cache memory architecture in addressing the long-lasting “Memory Wall” problem in the computing industry, this thesis demonstrates a Chip-Multiprocessor architecture that uses the optical cache unit as a shared single-level Level-1 cache, discarding the complex cache hierarchy and offering significant speed and energy advantages to multi-core architectures. The Chip-Multiprocessor architecture is validated via Gem5 simulation engine, demonstrating that the optical cache-enabled multi-core architecture can significantly improve system performance, increase memory bandwidth and discard the need for complex coherency protocols. The simulation results suggest either an execution speed-up of 19.4% or a cache capacity requirements reduction of ~63% on average for the 12 benchmarks of PARSEC suite. Finally, in order to transfer the optical memory technology benefits from Chip Multiprocessor layouts also in novel all-optical routing table architectures, this thesis presents the first design of an all-optical Ternary-Content Addressable Memory (T‑CAM) cell and a complete optical T-CAM row architecture for use in routing look-up table implementations. The Optical T-CAM row follows a novel Wavelength Division Multiplexing encoding matchline design, providing in this way successful comparison operation for complete optical words. The proposed scheme allows for the essential subnet-masked operation that is needed in modern router applications, while its evaluation by means of physical-layer simulations reveals successful Search and Write operation at speeds of up to 20 Gb/s.Στην παρούσα διατριβή παρουσιάζονται καινοτόμα οπτικά συστήματα που κάνουν χρήση οπτικών τεχνολογιών διασύνδεσης και μνήμης τα οποία στη συνέχεια χρησιμοποιούνται για την παρουσίαση καινοτόμων υπολογιστικών αρχιτεκτονικών που έχουν ως στόχο τη συνολική αύξηση της απόδοσης αλλά και τη μείωση της κατανάλωσης ενέργειας. Η παρούσα διατριβή ξεκινάει με την παρουσίαση της πλατφόρμας προσομοίωσης OptoHPC-Sim η οποία έχει ως στόχο τη μελέτη της χρήσης καινοτόμων οπτικών τεχνολογιών σε επίπεδο συστήματος σε μελλοντικά συστήματα υπερυπολογιστών. Κάνοντας χρήση του συγκεκριμένου προσομοιωτή προτείνεται μία καινοτόμα αρχιτεκτονική δικτύου για μελλοντικά υπερυπολογιστικά συστήματα η οποία κάνει χρήση σύγχρονων οπτικών τεχνολογιών. Τα αποτελέσματα της συγκριτικής ανάλυσης μεταξύ της προτεινόμενης αρχιτεκτονικής και της αρχιτεκτονικής που χρησιμοποιείται στον Titan CRAY XK7 υπερυπολογιστή αναδεικνύουν σημαντικά οφέλη τόσο ως προς τη ρυθμοαπόδοση, η οποία παρουσιάζεται αυξημένη κατά 190% κατά μέσο όρο για 8 benchmarks, όσο και ως προς τη μέση καθυστέρηση πακέτου, η οποία παρουσιάζεται κατά 83% βελτιωμένη κατά μέσο όρο για τα ίδια benchmarks. Επεκτείνοντας την χρήση των οπτικών τεχνολογιών από τις αρχιτεκτονικές δικτύων υπερυπολογιστών σε περιβάλλοντα επιπέδου chip επεξεργαστή, η παρούσα διατριβή παρουσιάζει μία καινοτόμα αρχιτεκτονική οπτικής κρυφής μνήμης η οποία επεκτείνει τις μέχρι τώρα διατάξεις που περιορίζονται στη χωρητικότητα ενός και μόνο bit. Η ανάλυση απόδοσης της προτεινόμενης κρυφής μνήμης μέσω προσομοιώσεων φυσικού επιπέδου αναδεικνύει ταχύτητες λειτουργίας έως και 16 GHz, σημαντικά υψηλότερες συγκριτικά με οποιαδήποτε συμβατική τεχνολογία, αυξάνοντας με αυτόν τον τρόπο το συνολικό διαθέσιμο εύρος ζώνης μνήμης. Πηγαίνοντας ένα βήμα παραπέρα προς την ανάδειξη των πλεονεκτημάτων της προτεινόμενης αρχιτεκτονικής κρυφής μνήμης ως προς την επίλυση του προβλήματος του “Τείχους Μνήμης”, η παρούσα διατριβή παρουσιάζει μία αρχιτεκτονική συστήματος πολυεπεξεργαστή η οποία κάνει χρήση της προτεινόμενη αρχιτεκτονικής κρυφής μνήμης ως διαμοιραζόμενη κρυφή μνήμη ενός επιπέδου. Η αξιολόγηση της απόδοσης της προτεινόμενης αρχιτεκτονικής πολυεπεξεργαστή γίνεται με τη χρήση της ευρέως διαδεδομένης πλατφόρμας προσομοίωσης Gem5. Τα αποτελέσματα της συγκριτικής μελέτης μεταξύ της προτεινόμενης αρχιτεκτονικής και μίας συμβατικής αρχιτεκτονικής επεξεργαστή που κάνει χρήση μίας δισδιάστατης ιεραρχίας κρυφών μνημών αναδεικνύουν σημαντική βελτίωση στη συνολική απόδοση του συστήματος. Πιο συγκεκριμένα αυτό μπορεί να μεταφραστεί είτε σε 19.4% βελτίωση του χρόνου εκτέλεσης ή σε 63% μείωση της απαιτούμενης χωρητικότητας σε κρυφή μνήμη κατά μέσο όρο για 12 benchmarks από την PARSEC σουίτα. Τέλος, μεταφέροντας τα πλεονεκτήματα της τεχνολογίας της οπτικής μνήμης από το επίπεδο των chip των επεξεργαστών στο επίπεδο των καινοτόμων αρχιτεκτονικών πινάκων δρομολόγησης για chip δρομολογητών, η παρούσα διατριβή παρουσιάζει το πρώτο πλήρως οπτικό κελί συσχετιστικής μνήμης που υποστηρίζει τη χρήση μασκών υποδικτύου Χρησιμοποιώντας ως βασικό δομικό στοιχείο το συγκεκριμένο κελί γίνεται επιπλέον η παρουσίαση μίας αρχιτεκτονικής γραμμής συσχετιστικής μνήμης η οποία υλοποιεί ένα καινοτόμο μηχανισμό αναζήτησης των δεδομένων που βασίζεται στην αρχή της πολυπλεξίας μήκους κύματος. Τα αποτελέσματα των προσομοιώσεων σε φυσικό επίπεδο παρουσιάζουν επιτυχή λειτουργία της προτεινόμενης αρχιτεκτονικής γραμμής σε ταχύτητες μέχρι και 20 Gb/s, ταχύτητες οι οποίες είναι κατά πολύ υψηλότερες συγκριτικά με οποιαδήποτε αντίστοιχη συμβατική τεχνολογία

    Integrated Optical Content Addressable Memories (CAM) and Optical Random Access Memories (RAM) for Ultra-Fast Address Look-Up Operations

    No full text
    Electronic Content Addressable Memories (CAM) implement Address Look-Up (AL) table functionalities of network routers; however, they typically operate in the MHz regime, turning AL into a critical network bottleneck. In this communication, we demonstrate the first steps towards developing optical CAM alternatives to enable a re-engineering of AL memories. Firstly, we report on the photonic integration of Semiconductor Optical Amplifier-Mach Zehnder Interferometer (SOA-MZI)-based optical Flip-Flop and Random Access Memories on a monolithic InP platform, capable of storing the binary prefix-address data-bits and the outgoing port information for next hop routing, respectively. Subsequently the first optical Binary CAM cell (B-CAM) is experimentally demonstrated, comprising an InP Flip-Flop and a SOA-MZI Exclusive OR (XOR) gate for fast search operations through an XOR-based bit comparison, yielding an error-free 10 Gb/s operation. This is later extended via physical layer simulations in an optical Ternary-CAM (T-CAM) cell and a 4-bit Matchline (ML) configuration, supporting a third state of the “logical X” value towards wildcard bits of network subnet masks. The proposed functional CAM and Random Access Memories (RAM) sub-circuits may facilitate light-based Address Look-Up tables supporting search operations at 10 Gb/s and beyond, paving the way towards minimizing the disparity with the frantic optical transmission linerates, and fast re-configurability through multiple simultaneous Wavelength Division Multiplexed (WDM) memory access requests

    Resonance absorption polarising filters for epithermal neutrons

    No full text
    LD:1769.7F(RL--81-043)(microfiche). / BLDSC - British Library Document Supply CentreSIGLEGBUnited Kingdo

    Throughput and delay fairness through an agile medium-transparent MAC protocol for 60GHz fiber-wireless LAN networks

    No full text
    We demonstrate a novel Medium-Transparent MAC (MT-MAC) protocol with enhanced end-user service delivery fairness properties for use in Gbps capable, 60 GHz Fiber-Wireless (FiWi) LAN networks. Our approach relies on incorporating a Client Weighted Algorithm (CWA) in the optical capacity allocation mechanism employed in the MT-MAC scheme, so as to distribute the available wavelengths to the different antenna units according to the total number of active users served by each individual antenna. The protocol's throughput fairness characteristics are confirmed through extensive simulations for different end-user's distributions, vaying traffic loads and multiple optical wavelength availabilities at 1 Gbps data rates. The presented results shoe that complete throughput and delay equalization can be achieved even for highly varying user population patterns among the different antenna units when certain wavelength availability conditions are satisfied. The performance of the proposed protocol has been compared with respective results obtained by the state-of-art MT-MAC scheme where a round-robin arbitration algorithm is used, clearly confirming the increased fairness capabilities of our approach. In addition, the proposed scheme is simple amd remains clearly distinct from the wireless capacity arbitration process, highlighting in this way the high-level agility and flexibility of the MT-MAC platform for use in high-speed 60 Ghz FiWi LANs.Peer Reviewe
    corecore