12 research outputs found

    Modification of ultra low-k dielectric films by O₂ and CO₂ plasmas

    Get PDF
    Low-k materials developed for ULSI interconnects should have sufficient resistance to processing plasma. CO2 plasma is being considered as a promising candidate for low damage photoresist ash and as a surface activation chemistry for self-assembled monolayers and atomic layer deposition on low-k materials. This article explores the interaction of two organosilicate (OSG) based low-k materials with different k-values (OSG2.4 and OSG2.2) with CO2 plasma in both CCP and ICP-remote plasma chambers. Time dependent exposure of the materials to CO2 plasma revealed quick and effective sealing of OSG2.4 surface whereas it takes longer time for OSG2.2. The sealing reduces further plasma damage and leads to accumulation of CO2 in the pores of both materials. The same behavior occurs in ICP-remote plasma but without a complete sealing of the surface. This suggests the important role of ion bombardment. Damage to low-k by conventional O-2 plasma was studied alongside and it was found that for t 60 s. Furthermore, lesser time exposure to CO2 plasma was investigated with respect to source power at constant pressure and it was discovered that damage although small, increases with varying source power

    Integrated cleanroom process for the vapor-phase deposition of large-area zeolitic imidazolate framework thin films

    Get PDF
    Robust and scalable thin-film deposition methods are key to realize the potential of metal-organic frameworks (MOFs) in electronic devices. Here, we report the first integration of the chemical vapor deposition (CVD) of MOF coatings in a custom reactor within a cleanroom setting. As a test case, the MOF-CVD conditions for the zeolitic imidazolate framework-8 are optimized to enable smooth, pinhole-free, and uniform thin films on full 200 mm wafers under mild conditions. The single-chamber MOF-CVD process and the impact of the deposition parameters are elucidated via a combination of in situ monitoring and ex situ characterization. The resulting process guidelines will pave the way for new MOF-CVD formulations and a plethora of MOF-based devices

    Leakage current induced by surfactant residues in self-assembly based ultralow-k dielectric materials

    No full text
    © 2017 Author(s). In this work, we studied low-field leakage currents in the self-assembly based spin-on low-k dielectrics (k = 2.2) as it may be affected by the degree of the organic template decomposition. The distinct role of the template residues could be examined due to the remarkably different rate of organosilica matrix cross-linking and template decomposition during the hard-bake process. We found that the incomplete decomposition of the sacrificial organic phase is responsible for increased low-field leakage current through the dielectric. The analysis of photocurrent spectra and the results of electron resonance spectroscopy suggest that the degradation of electrical performance can be attributed to the presence of defect states ∼5 eV below the insulator conduction band induced by the residues in the form of oxidized sp3-carbon chains. The lowest leakage current is attained in the template-free material obtained by introduction of additional broadband UV-assisted curing (λ > 200 nm).status: publishe

    Plasma induced damage mitigation in spin-on self-assembly based ultra low-k dielectrics using template residues

    No full text
    © 2017 Author(s). This paper describes an approach for the reduction of plasma-induced damage in self-assembly based porous ultra low-k organosilica dielectrics. The concept is based on retention of the partially decomposed sacrificial organic phase (template) into the pores of the low-k film during plasma exposure. The amount of the template residues can be controlled by varying the hard-bake process time. It is shown that those residues are uniformly distributed throughout the film in the form of pore wall coatings. After plasma processing, the remaining residues are removed by means of a UV cure. Plasma damage to the underlying organosilica matrix was assessed by exposure of the differently hard-baked low-k films to fluorine-rich Ar/SF6 plasma. The thickest coating, estimated to be around 0.4 nm, enables a nearly damage-free etch process without any carbon depletion or k-value degradation along with limited shrinkage induced by post-etch UV-curing (<4.5%). These results highlight the efficiency of a simple and scalable route for damage-free integration of highly porous self-assembly based low-k dielectrics.status: publishe

    Surface-confined activation of ultra low-k dielectrics in CO2 plasma

    No full text
    © 2016 Author(s). An approach allowing surface-confined activation of porous organosilicate based low-k dielectrics is proposed and studied. By examining the plasma damage mechanism of low-k, we came up with an initial idea that the main requirements for the surface-confined modification would be the high reactivity and high recombination rate of the plasma species. Based on this concept, CO2 plasma was selected and benchmarked with several other plasmas. It is demonstrated that a short exposure of organosilicate low-k films to CO2 plasma enables high surface hydrophilicity with limited bulk modification. CO2+ ions predominantly formed in this plasma have high oxidation potential and efficiently remove surface -CH3 groups from low-k. At the same time, the CO2+ ions get easily discharged (deactivated) during their collisions with pore walls and therefore have very limited probability of penetration into the low-k bulk. Low concentration of oxygen radicals is another factor avoiding the bulk damage. The chemical reactions describing the interactions between CO2 plasma and low-k dielectrics are proposed.status: publishe

    Improvement of cohesion strength in ULK OSG materials by pore structure adjustment

    No full text
    © 2015 Elsevier B.V. All rights reserved. In this study cohesion strength in low-k dielectric films was enhanced by tuning the pore structure. The correlation between the average size of pores in ultra-low-k materials and cohesion energy was demonstrated by performing a 4-point bending test on multi-layer structures including TaN/Ta metal barrier. Spin-on organosilica films prepared with different templating surfactants were used to alleviate porosity- pore size coupling, which revealed the particular role of pore size with respect to material toughness. The paper also discusses aspects of material design at precursor level.status: publishe

    Impact of Plasma Pretreatment and Pore Size on the Sealing of Ultra-Low-k Dielectrics by Self-Assembled Monolayers

    No full text
    Self-assembled monolayers (SAMs) from an 11-cyanoundecyltrichlorosilane (CN-SAM) precursor were deposited on porous SiCOH low-k dielectrics with three different pore radii, namely, 1.7, 0.7, and lower than 0.5 nm. The low-k dielectrics were first pretreated with either O2 or He/H2 plasma in order to generate silanol groups on the hydrophobic pristine surface. Subsequently, the SAMs were chemically grafted to the silanol groups on the low-k surface. The SAMs distribution in the low-k films depends on the pore diameter: if the pore diameter is smaller than the size of the SAMs precursors, the SAM molecules are confined to the surface, while if the pore diameter exceeds the van der Waals radius of the SAMs precursor, the SAMs molecules reach deeper in the dielectric. In the latter case, when the pore sidewalls are made hydrophilic by the plasma treatment, the chemical grafting of the SAM precursors follows the profile of the generated silanol groups. The modification depth induced by the O2 plasma is governed by the diffusion of the oxygen radicals into the pores, which makes it the preferred choice for microporous materials. On the other hand, the vacuum ultraviolet (VUV) light plays a critical role, which makes it more suitable for hydrolyzing mesoporous materials. In addition to the density of the surface -OH groups, the nanoscale concave curvature associated with the pores also affects the molecular packing density and ordering with respect to the self-assembly behavior on flat surfaces. A simple model which correlates the low-k pore structure with the plasma hydrophilization mechanism and the SAMs distribution in the pores is presented.status: publishe

    On the mechanical and electrical properties of self-assembly-based organosilicate porous films

    No full text
    The effect of the replacement of Si-O-Si by Si-CH2-Si groups on the mechanical and electrical properties of silica-based hybrid sol-gel thin films is reported. For a reliable inference, two sets of organosilica films were synthesized - one consisting of a silica matrix decorated with methyl groups (Si-CH3) while the other further incorporating bridging methylene (Si-CH2-Si) functionalities. As a result, at the film density of 0.87 g cm(-3), a higher Young's modulus of 6.6 GPa was deduced for the film containing Si-CH2-Si groups compared to 5.3 GPa for the one with Si-O-Si functionalities. Concurrently, the introduction of the methylene bridging groups leads to a dielectric constant increase from 2.12 to 2.27. Furthermore, the type of surfactant, ionic or nonionic, employed as a templating agent has a negligible effect on the electrical properties and the reliability performance of the porous organosilica films
    corecore