120 research outputs found

    One-Stage Combined Thoracic Ancient Schwannomas Total Removal and Coronary Artery Bypass

    Get PDF
    Ancient schwannoma is a rare variant of neural tumors though rarely seen in the thorax. The combination with coronary artery diseases is also rare. Here we describe a 66 year-old male who had undergone one-stage combined surgery for thoracic ancient schwannomas removal and coronary artery disease. The masses were, respectively, 13 cm in the middle mediastinum and 5 cm in diameter originating from the intercostal nerve. The tumors were successfully removed using sternotomy, and then a coronary artery bypass grafting was performed. Here we discuss this rare tumor in relation to the relevant literature

    ELEKTRONİK TİCARETTE META SEZGİSEL YÖNTEMLERİN KULLANIMI

    Get PDF
    Sağladığı zaman tasarrufu ve maliyet avantajı nedeni ile hem kullanıcılar hem de hizmet sunucuları tarafından sıklıkla kullanılır hale gelen internetin, önümüzdeki yıllarda daha farklı açılımlara sahne olacağı aşikârdır. İnternetin kullanım alanındaki hızlı artışı, beraberinde çeşitli problemleri ortaya çıkarmış ve bunlara yönelik çözüm önerileri sunmayı zorunlu hale getirmiştir. Bu doğrultuda, internet kullanıcılarının istedikleri bilgiye zaman kaybetmeden ulaşmalarını sağlamak için, çeşitli analizler yapılmıştır. Bugüne kadar meta sezgisel yöntemler, farklı konulardaki bu tip problemlerin çözümünde sıklıkla kullanılmıştır. Bu araştırmada, elektronik ticaret uygulamaları kısaca izah edilmiş ve son yıllarda elektronik ortamlarda yaşanan problemlere çözüm bulunması konusunda, meta sezgisel yöntemlerin kullanımı ile ilgili literatür de yapılan çalışmalar araştırılarak kısaca izah edilmiştir

    An Experimental Study of Reduced-Voltage Operation in Modern FPGAs for Neural Network Acceleration

    Get PDF
    We empirically evaluate an undervolting technique, i.e., underscaling the circuit supply voltage below the nominal level, to improve the power-efficiency of Convolutional Neural Network (CNN) accelerators mapped to Field Programmable Gate Arrays (FPGAs). Undervolting below a safe voltage level can lead to timing faults due to excessive circuit latency increase. We evaluate the reliability-power trade-off for such accelerators. Specifically, we experimentally study the reduced-voltage operation of multiple components of real FPGAs, characterize the corresponding reliability behavior of CNN accelerators, propose techniques to minimize the drawbacks of reduced-voltage operation, and combine undervolting with architectural CNN optimization techniques, i.e., quantization and pruning. We investigate the effect of environmental temperature on the reliability-power trade-off of such accelerators. We perform experiments on three identical samples of modern Xilinx ZCU102 FPGA platforms with five state-of-the-art image classification CNN benchmarks. This approach allows us to study the effects of our undervolting technique for both software and hardware variability. We achieve more than 3X power-efficiency (GOPs/W) gain via undervolting. 2.6X of this gain is the result of eliminating the voltage guardband region, i.e., the safe voltage region below the nominal level that is set by FPGA vendor to ensure correct functionality in worst-case environmental and circuit conditions. 43% of the power-efficiency gain is due to further undervolting below the guardband, which comes at the cost of accuracy loss in the CNN accelerator. We evaluate an effective frequency underscaling technique that prevents this accuracy loss, and find that it reduces the power-efficiency gain from 43% to 25%.Comment: To appear at the DSN 2020 conferenc

    MoRS: An approximate fault modelling framework for reduced-voltage SRAMs

    Get PDF
    On-chip memory (usually based on Static RAMs-SRAMs) are crucial components for various computing devices including heterogeneous devices, e.g, GPUs, FPGAs, ASICs to achieve high performance. Modern workloads such as Deep Neural Networks (DNNs) running on these heterogeneous fabrics are highly dependent on the on-chip memory architecture for efficient acceleration. Hence, improving the energy-efficiency of such memories directly leads to an efficient system. One of the common methods to save energy is undervolting i.e., supply voltage underscaling below the nominal level. Such systems can be safely undervolted without incurring faults down to a certain voltage limit. This safe range is also called voltage guardband. However, reducing voltage below the guardband level without decreasing frequency causes timing-based faults. In this paper, we propose MoRS, a framework that generates the first approximate undervolting fault model using real faults extracted from experimental undervolting studies on SRAMs to build the model. We inject the faults generated by MoRS into the on-chip memory of the DNN accelerator to evaluate the resilience of the system under the test. MoRS has the advantage of simplicity without any need for high-time overhead experiments while being accurate enough in comparison to a fully randomly-generated fault injection approach. We evaluate our experiment in popular DNN workloads by mapping weights to SRAMs and measure the accuracy difference between the output of the MoRS and the real data. Our results show that the maximum difference between real fault data and the output fault model of MoRS is 6.21%, whereas the maximum difference between real data and random fault injection model is 23.2%. In terms of average proximity to the real data, the output of MoRS outperforms the random fault injection approach by 3.21x.This work is partially funded by Open Transprecision Computing (OPRECOM) project, Summer of Code 2020.Peer ReviewedPostprint (author's final draft

    MoRS: An approximate fault modelling framework for reduced-voltage SRAMs

    Get PDF
    On-chip memory (usually based on Static RAMs-SRAMs) are crucial components for various computing devices including heterogeneous devices, e.g, GPUs, FPGAs, ASICs to achieve high performance. Modern workloads such as Deep Neural Networks (DNNs) running on these heterogeneous fabrics are highly dependent on the on-chip memory architecture for efficient acceleration. Hence, improving the energy-efficiency of such memories directly leads to an efficient system. One of the common methods to save energy is undervolting i.e., supply voltage underscaling below the nominal level. Such systems can be safely undervolted without incurring faults down to a certain voltage limit. This safe range is also called voltage guardband. However, reducing voltage below the guardband level without decreasing frequency causes timing-based faults. In this paper, we propose MoRS, a framework that generates the first approximate undervolting fault model using real faults extracted from experimental undervolting studies on SRAMs to build the model. We inject the faults generated by MoRS into the on-chip memory of the DNN accelerator to evaluate the resilience of the system under the test. MoRS has the advantage of simplicity without any need for high-time overhead experiments while being accurate enough in comparison to a fully randomly-generated fault injection approach. We evaluate our experiment in popular DNN workloads by mapping weights to SRAMs and measure the accuracy difference between the output of the MoRS and the real data. Our results show that the maximum difference between real fault data and the output fault model of MoRS is 6.21%, whereas the maximum difference between real data and random fault injection model is 23.2%. In terms of average proximity to the real data, the output of MoRS outperforms the random fault injection approach by 3.21x.This work is partially funded by Open Transprecision Computing (OPRECOM) project, Summer of Code 2020.Peer ReviewedPostprint (author's final draft

    Investigation in Term of Some Social Veriables of Level of Knowledge Based Approach For Science Events in Life

    Get PDF
    DergiPark: 326057trakyasobedThe purpose of this study is based on investigation in term of some social veriations of level of knowledge based approach for science events in life. In order to develop the scale, an item pool of 37 questions has been created with the assistance of a group of science and technology teachers of secondary schools. An expert opinion has been asked and an experiment form has been prepared with the items determined to be appropriate for the goal of the research. The developed form has been pre-examined in order to ascertain whether the questions are understandable or not. To determine the reliability and validity of the assessment instrument, a pre-experiment study has been done and total correlation coefficient and item-remainder correlation coefficient have been calculated for the scale development statistics on the data gathered from the implementation of the first draft form, and four items have not been found to be significant. In order to check over the discriminative power of items, t test has been applied between upper and lower quarters and according to this analysis, one item has not been found to be significant, and all the unsignificant items have been removed from the scale. According to the item analysis done with these two methods, the final version of the scale has been created and Cronbach’s and Rulon’s coefficients have been found to be 0.85 and 0.87, respectively. Prepared according to this scale; Knowledge-based approach of science in daily life events were allowed to examine in term of some social variables.Bu çalışmanın amacı, insanların günlük yaşamdaki fen olaylarının bilgi temelli yaklaşım düzeylerinin toplumsal bazı değişkenler açısından incelenmesine dayanmaktadır. Bu bilgilerin ölçülmesi amacıyla ilköğretim okullarında görev yapan bir grup fen ve teknoloji öğretmenlerinin yardımlarıyla 37 sorudan oluşan madde havuzu oluşturuldu. Hazırlanan maddelere ilişkin uzman görüşü alınmış ve araştırmanın amacına uygun olduğuna karar verilen maddelerden oluşan deneme formu hazırlandı. Geliştirilen form ön incelemeden geçirilerek soruların anlaşılır olup olmadığı tespit edilmeye çalışıldı. Ölçme aracının güvenirliğini ve geçerliğini tespit etmek amacıyla ön deneme çalışması yapılmış ve ilk taslak formunun uygulanmasından elde edilen veriler üzerinde ölçek geliştirme istatistikleri olarak rit (madde-total korelasyon) ve rir (madde-remainder) katsayıları hesaplanarak dört madde manidar bulunmamıştır. Maddelerinde ayırt etme gücünü sınamak amacıyla üst ve alt çeyrekler arası t testi uygulanmış, bu analize göre bir madde manidar bulunmayarak tüm manidar bulunmayan maddeler ölçekten çıkarıldı. Bu iki yöntemle yapılan madde analizine göre ölçeğin son halini oluşturmuş ve ölçeğin Cronbach ve Rulon katsayıları sırasıyla 0,85 ve 0,87 olarak bulundu. Hazırlanan bu ölçeğe göre günlük yaşamdaki bazı fen olaylarının bilgi temelli yaklaşım düzeylerinin toplumsal bazı değişkenler açısından incelenmesi sağland

    Everolimus Treatment and Selective Artery Embolization Application in a Case of Tuberous Sclerosis-Related Bilateral Renal Angiomyolipoma

    Get PDF
    Angiomyolipomas are the most common benign mesenchymal tumors of the kidney. Although they are often seen sporadically, they can also be observed as a part of the tuberous sclerosis complex (TSC). They occur at an earlier age in cases associated with tuberous sclerosis (TS), bilateral mass and epithelioid formation. There are various treatment approaches such as active surveillance, nephron-sparing surgery, nephrectomy, angioembolization, and use of mammalian target of rapamycin (mTor) inhibitors. Our case was a patient with bilateral multiple renal angiomyolipomas associated with TS. We applied mTOR inhibitor and angioembolization therapy to this patient. In our article, we tried to evaluate our success rate in our treatment and the treatment regimens to be applied in these patients

    Do dental calculi predict the presence of renal stones?

    Get PDF
    Objective: Pathological calcifications that occur in various parts of the body may cause stone formation over time. The structure of these stones is similar in many regions of the body. We have studied the relationship between dental calculi and kidney stones. Material and methods: A total of 183 patients with dental stone complaints or dental calculi were included between April and August 2016 in the Cagri Dental Hospital, Elazig, Turkey. Patients were evaluated with regard to a urinary tract ultrasonography, urinalysis, oral hygiene, and stone and surgical disease history. All information was statistically investigated. Results: The age of the patients in the kidney stones group was significantly higher than the non-kidney stone patients (p < 0.05). In the group with kidney stones, the percentage of dental calculus formation was significantly higher than the group without stones (p < 0.05). In the groups with and without kidney stones, dental stone recurrence rates did not differ significantly (p < 0.05). Urinary pH was significantly lower in the group with stones than the group without stones (p < 0.05). Conclusions: During a physical examination, the formation of a visible stone, such as a dental calculus, may be an indicator of other types of stones, such as kidney stones, and this should be further investigated

    TuRaN: True Random Number Generation Using Supply Voltage Underscaling in SRAMs

    Full text link
    Prior works propose SRAM-based TRNGs that extract entropy from SRAM arrays. SRAM arrays are widely used in a majority of specialized or general-purpose chips that perform the computation to store data inside the chip. Thus, SRAM-based TRNGs present a low-cost alternative to dedicated hardware TRNGs. However, existing SRAM-based TRNGs suffer from 1) low TRNG throughput, 2) high energy consumption, 3) high TRNG latency, and 4) the inability to generate true random numbers continuously, which limits the application space of SRAM-based TRNGs. Our goal in this paper is to design an SRAM-based TRNG that overcomes these four key limitations and thus, extends the application space of SRAM-based TRNGs. To this end, we propose TuRaN, a new high-throughput, energy-efficient, and low-latency SRAM-based TRNG that can sustain continuous operation. TuRaN leverages the key observation that accessing SRAM cells results in random access failures when the supply voltage is reduced below the manufacturer-recommended supply voltage. TuRaN generates random numbers at high throughput by repeatedly accessing SRAM cells with reduced supply voltage and post-processing the resulting random faults using the SHA-256 hash function. To demonstrate the feasibility of TuRaN, we conduct SPICE simulations on different process nodes and analyze the potential of access failure for use as an entropy source. We verify and support our simulation results by conducting real-world experiments on two commercial off-the-shelf FPGA boards. We evaluate the quality of the random numbers generated by TuRaN using the widely-adopted NIST standard randomness tests and observe that TuRaN passes all tests. TuRaN generates true random numbers with (i) an average (maximum) throughput of 1.6Gbps (1.812Gbps), (ii) 0.11nJ/bit energy consumption, and (iii) 278.46us latency

    An experimental study of reduced-voltage operation in modern FPGAs for neural network acceleration

    Get PDF
    We empirically evaluate an undervolting technique, i.e., underscaling the circuit supply voltage below the nominal level, to improve the power-efficiency of Convolutional Neural Network (CNN) accelerators mapped to Field Programmable Gate Arrays (FPGAs). Undervolting below a safe voltage level can lead to timing faults due to excessive circuit latency increase. We evaluate the reliability-power trade-off for such accelerators. Specifically, we experimentally study the reduced-voltage operation of multiple components of real FPGAs, characterize the corresponding reliability behavior of CNN accelerators, propose techniques to minimize the drawbacks of reduced-voltage operation, and combine undervolting with architectural CNN optimization techniques, i.e., quantization and pruning. We investigate the effect ofenvironmental temperature on the reliability-power trade-off of such accelerators. We perform experiments on three identical samples of modern Xilinx ZCU102 FPGA platforms with five state-of-the-art image classification CNN benchmarks. This approach allows us to study the effects of our undervolting technique for both software and hardware variability. We achieve more than 3X power-efficiency (GOPs/W ) gain via undervolting. 2.6X of this gain is the result of eliminating the voltage guardband region, i.e., the safe voltage region below the nominal level that is set by FPGA vendor to ensure correct functionality in worst-case environmental and circuit conditions. 43% of the power-efficiency gain is due to further undervolting below the guardband, which comes at the cost of accuracy loss in the CNN accelerator. We evaluate an effective frequency underscaling technique that prevents this accuracy loss, and find that it reduces the power-efficiency gain from 43% to 25%.The work done for this paper was partially supported by a HiPEAC Collaboration Grant funded by the H2020 HiPEAC Project under grant agreement No. 779656. The research leading to these results has received funding from the European Union’s Horizon 2020 Programme under the LEGaTO Project (www.legato-project.eu), grant agreement No. 780681.Peer ReviewedPostprint (author's final draft
    corecore