379 research outputs found

    Test Structure for Measuring the Selectivity in XeF2 and HF Vapour Etch Processes

    Get PDF

    Manipulating etch selectivities in XeF2 vapour etching

    Get PDF
    The vapour etching of silicon sacrificial layers is often a critical process in the fabrication of micro/nanosystems. This method has a number of attractive features, in particular, high etch rates of sacrificial silicon layers and good selectivities associated with photoresist, SiO₂, stoichiometric Si₃N₄ and a number of regularly used metal films. However, materials that are commonly inert to XeF₂ are etched when located in the proximity of a silicon sacrificial layer. This proximity is a common situation in the fabrication of such systems and can become a critical issue affecting process control and device reliability. This work uses test structures that have been designed to be very sensitive, thereby delivering much lower selectivities then are typically reported in the literature. This sensitive quantification of the proximity effect is used to evaluate methods designed to improve the selectivity. This work suggests that a reduction in the processing temperature from 25°C to 10°C increases the Si: PECVD SiN selectivity by 68%. However, a more easily implemented modification is to flow hydrogen into the reaction chamber. This method improves the Si: PECVD SiN selectivity by an order of magnitude and the Si: LPCVD SiN selectivity between 200% and 600%. [2020-0346]

    Integration of Electrodeposited Ni-Fe in MEMS with Low-Temperature Deposition and Etch Processes

    Get PDF
    This article presents a set of low-temperature deposition and etching processes for the integration of electrochemically deposited Ni-Fe alloys in complex magnetic microelectromechanical systems, as Ni-Fe is known to suffer from detrimental stress development when subjected to excessive thermal loads. A selective etch process is reported which enables the copper seed layer used for electrodeposition to be removed while preserving the integrity of Ni-Fe. In addition, a low temperature deposition and surface micromachining process is presented in which silicon dioxide and silicon nitride are used, respectively, as sacrificial material and structural dielectric. The sacrificial layer can be patterned and removed by wet buffered oxide etch or vapour HF etching. The reported methods limit the thermal budget and minimise the stress development in Ni-Fe. This combination of techniques represents an advance towards the reliable integration of Ni-Fe components in complex surface micromachined magnetic MEMS
    • …
    corecore