273 research outputs found

    Virtual metrology for plasma etch processes.

    Get PDF
    Plasma processes can present dicult control challenges due to time-varying dynamics and a lack of relevant and/or regular measurements. Virtual metrology (VM) is the use of mathematical models with accessible measurements from an operating process to estimate variables of interest. This thesis addresses the challenge of virtual metrology for plasma processes, with a particular focus on semiconductor plasma etch. Introductory material covering the essentials of plasma physics, plasma etching, plasma measurement techniques, and black-box modelling techniques is rst presented for readers not familiar with these subjects. A comprehensive literature review is then completed to detail the state of the art in modelling and VM research for plasma etch processes. To demonstrate the versatility of VM, a temperature monitoring system utilising a state-space model and Luenberger observer is designed for the variable specic impulse magnetoplasma rocket (VASIMR) engine, a plasma-based space propulsion system. The temperature monitoring system uses optical emission spectroscopy (OES) measurements from the VASIMR engine plasma to correct temperature estimates in the presence of modelling error and inaccurate initial conditions. Temperature estimates within 2% of the real values are achieved using this scheme. An extensive examination of the implementation of a wafer-to-wafer VM scheme to estimate plasma etch rate for an industrial plasma etch process is presented. The VM models estimate etch rate using measurements from the processing tool and a plasma impedance monitor (PIM). A selection of modelling techniques are considered for VM modelling, and Gaussian process regression (GPR) is applied for the rst time for VM of plasma etch rate. Models with global and local scope are compared, and modelling schemes that attempt to cater for the etch process dynamics are proposed. GPR-based windowed models produce the most accurate estimates, achieving mean absolute percentage errors (MAPEs) of approximately 1:15%. The consistency of the results presented suggests that this level of accuracy represents the best accuracy achievable for the plasma etch system at the current frequency of metrology. Finally, a real-time VM and model predictive control (MPC) scheme for control of plasma electron density in an industrial etch chamber is designed and tested. The VM scheme uses PIM measurements to estimate electron density in real time. A predictive functional control (PFC) scheme is implemented to cater for a time delay in the VM system. The controller achieves time constants of less than one second, no overshoot, and excellent disturbance rejection properties. The PFC scheme is further expanded by adapting the internal model in the controller in real time in response to changes in the process operating point

    Virtual metrology for plasma etch processes.

    Get PDF
    Plasma processes can present dicult control challenges due to time-varying dynamics and a lack of relevant and/or regular measurements. Virtual metrology (VM) is the use of mathematical models with accessible measurements from an operating process to estimate variables of interest. This thesis addresses the challenge of virtual metrology for plasma processes, with a particular focus on semiconductor plasma etch. Introductory material covering the essentials of plasma physics, plasma etching, plasma measurement techniques, and black-box modelling techniques is rst presented for readers not familiar with these subjects. A comprehensive literature review is then completed to detail the state of the art in modelling and VM research for plasma etch processes. To demonstrate the versatility of VM, a temperature monitoring system utilising a state-space model and Luenberger observer is designed for the variable specic impulse magnetoplasma rocket (VASIMR) engine, a plasma-based space propulsion system. The temperature monitoring system uses optical emission spectroscopy (OES) measurements from the VASIMR engine plasma to correct temperature estimates in the presence of modelling error and inaccurate initial conditions. Temperature estimates within 2% of the real values are achieved using this scheme. An extensive examination of the implementation of a wafer-to-wafer VM scheme to estimate plasma etch rate for an industrial plasma etch process is presented. The VM models estimate etch rate using measurements from the processing tool and a plasma impedance monitor (PIM). A selection of modelling techniques are considered for VM modelling, and Gaussian process regression (GPR) is applied for the rst time for VM of plasma etch rate. Models with global and local scope are compared, and modelling schemes that attempt to cater for the etch process dynamics are proposed. GPR-based windowed models produce the most accurate estimates, achieving mean absolute percentage errors (MAPEs) of approximately 1:15%. The consistency of the results presented suggests that this level of accuracy represents the best accuracy achievable for the plasma etch system at the current frequency of metrology. Finally, a real-time VM and model predictive control (MPC) scheme for control of plasma electron density in an industrial etch chamber is designed and tested. The VM scheme uses PIM measurements to estimate electron density in real time. A predictive functional control (PFC) scheme is implemented to cater for a time delay in the VM system. The controller achieves time constants of less than one second, no overshoot, and excellent disturbance rejection properties. The PFC scheme is further expanded by adapting the internal model in the controller in real time in response to changes in the process operating point

    Real-time Monitoring and Optimization of Plasma Etching for Semiconductor Manufacturing

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ (๋ฐ•์‚ฌ)-- ์„œ์šธ๋Œ€ํ•™๊ต ๋Œ€ํ•™์› : ํ™”ํ•™์ƒ๋ฌผ๊ณตํ•™๋ถ€, 2014. 2. ํ•œ์ข…ํ›ˆ.For several decades, the semiconductor industry has rapidly progressed by the fast paced improvements in its technology. The continuous shrinkage of the chip size makes sub-20nm device era appear, which enables microprocessors with several GHz multiple-core central processing unit and various types of memory devices with several hundred gigabyte capacity. This technology evolution of the industry has been driven by the Moores law, which has proven to be accurate until the current sub-20nm device era. However, the physical difficulties in materials and patterning technology to cross the 10nm threshold force semiconductor manufacturers to start thinking of more than Moores law. The concept of more than Moores law was firstly addressed in 2007, which is the equivalent scaling by โ€ƒ improving the performance of the device, not by shrinking the chip size. Several equivalent scaling approaches are classified into inclusion of process technologies, additional chip and system-level architectural and software design, transition to 450mm wafer, and cost-effective manufacturing through real-time monitoring and control. Semiconductor manufacturing is composed of various processes such as photo lithography, dry etch, diffusion, ion implantation, thin film deposition, cleaning and chemical mechanical planarization. Among these processes, plasma related processes occupy more than 30% of the whole manufacturing steps. Specifically, plasma etching leads technology evolution in plasma equipment for semiconductor manufacturing. The cost-effective manufacturing through monitoring and control in plasma etching has been delayed due to the inherent complexity of plasma, lack of plasma sensors, integration issues from deposition and photolithography even though the plasma etching is one of the core processes for semiconductor manufacturing. As a result, the rapid technology development for plasma etch in terms of the cost effective manufacturing is crucial in winning the competitiveness in semiconductor industry. This thesis has addressed issues in cost effective plasma etch operations and solutions: sensor variable selection and utilization technique, virtual metrology to predict critical dimension, chamber conditioning after wet cleaning, and chamber to chamber matching. All of the developed methodologies were demonstrated in semiconductor manufacturing environments Integrated square response based sensor variable selection technique was introduced for handling the scaling issues from various physical properties of sensors in plasma etching and for helping engineers to intuitively select state variables related to manipulate variables. This technique can be integrated with relative gain array and singular value analysis to strengthen its usefulness in plasma etching. Issues in implementing a robust virtual metrology for plasma etching were discussed: state-of-the art plasma sensors, effective selection of plasma sensor variables responding to individual manipulated variable, sensor data shift across preventive maintenance. In order to handle selection of plasma sensor variables, the integrated square response based sensor selection is refined by the interaction analysis with non-square relative gain array, which can reduce the number of input variables for virtual metrology. With the help of plasma sensor variables and its optimum sensor variable selection, simple linear regression methods such as multiple linear regression and partial least squares regression are successfully applied to predict a metal line critical dimension in plasma etching. The mean absolute percentage error of the virtual metrology systems is less than 5%, which can be maintained by the cost-effective recursive coefficient update technique even under dynamic semiconductor manufacturing environments. A systematic procedure to optimize chamber seasoning conditions with optical emission spectroscopy was suggested to address the process drift after wet cleaning in plasma etching. In order to achieve a quantitative analysis of plasma spectra without being disturbed by noises from optic systems, a self-background normalization technique is introduced. Also in order to automatically determine optimum seasoning conditions, a multiple input multiple output control strategy is applied and the optimum condition is obtained by solving the quadratic optimization problems. The suggested methodology was successfully demonstrated in a dynamic random access memory device manufacturing environment which is suffering from a serious process drift after wet cleaning. The equipment control approach was suggested to solve chamber to chamber performance matching problems. The decomposed etch rate map which enables representation of etch rate profile within a wafer were introduced to design a multiple input multiple output controller with 3 controlled variables. With the 3 controlled variables, the singular value analysis and the relative gain array methods were incorporated with the integrated square response based sensor variable selection technique to find the optimal sets of manipulated variables and controlled variables. In order to find an optimum process condition to match the etch rate performance from the worst to the golden chambers, an optimization problem with constraints was solved. The suggested process condition was applied to the worst chamber, which results in the improvement of the performance matching index from 100.7 to 31.6. Hopefully, the proposed methodologies in this thesis will be disseminated to semiconductor manufacturers who are experiencing similar issues.Abstract i Contents v List of Figures viii List of Tables x CHAPTER 1 : Introduction 1 1.1. Research motivation 1 1.2. Monitoring and optimization in semiconductor manufacturing 4 1.3. Research objectives 7 1.4. Outline of the thesis 8 CHAPTER 2 : Sensor Variable Selection and Utilization 9 2.1. Introduction 9 2.2. Issues in sensor variable selection for plasma etching processes 11 2.2.1. Complex multivariate plasma etch process 11 2.2.2. Various sensor variables in plasma etching equipment 14 2.2.3. Scaling sensitive principal component analysis 17 2.3. ISR based sensor variable selection method 22 2.4. Conclusions 28 CHAPTER 3 : Virtual Metrology to Predict Critical Dimension 29 3.1. Introduction 29 3.2. Considerations on plasma etch-specific VM 31 3.2.1. Variable selection with minimum plasma knowledge 31 3.2.2. Sensor data shift across preventive maintenance 32 3.3. Incorporation of ISR based sensor variable selection with RGA method 34 3.4. Recursive update algorithm for handling sensor data shift 37 3.5. Results and discussion 38 3.5.1. Optimum sensor variable selection for VM 38 3.5.2. Reliable VM system by simple linear regression methods 43 3.5.3. Recursive coefficient update to handle sensor data shift 45 3.6. Conclusions 49 CHAPTER 4 : Chamber Conditioning after Wet Cleaning 50 4.1. Introduction 50 4.2. Experiment 52 4.3. Issues in wet cleaning for plasma etch systems 53 4.3.1. Serious process drift after wet cleaning 53 4.3.2. OES data drift between and across preventive maintenance 56 4.3.3. Optimization problems with OES data 61 4.4. Systematic optimization of chamber seasoning conditions 65 4.4.1. Step-by-step procedure to optimize chamber seasoning conditions through OES 65 4.4.2. Application of step-by-step procedure to Si trench etch process 68 4.5. Conclusions 72 CHAPTER 5 : Chamber to Chamber Matching by MIMO Controller Design 73 5.1. Introduction 73 5.2. Experiment 75 5.3. Chamber matching issues in semiconductor manufacturing 77 5.3.3. Chamber performance deviations in plasma etching 77 5.3.4. Approaches to handling chamber matching issues 82 5.4. Brief theory overview 84 5.4.1. Possible MVs and CVs in plasma etching 84 5.4.2. Singular value analysis method 86 5.4.3. Dynamic optimization techniques for multiple input multiple output control 87 5.5. Controller development and recipe optimization 88 5.5.1. Design of MIMO controller 88 5.5.2. Recipe optimization and chamber performance matching test 99 5.5.3. Future aspect for robust chamber matching 105 5.6. Conclusions 105 CHAPTER 6 : Concluding Remarks 107 6.1. Conclusions 107 6.2. Future works 109 Nomenclature 110 Literature cited 115 Abstract in Korean (์š” ์•ฝ) 124Docto

    ๋งค๊ฐœ๋ถ„ํฌ๊ทผ์‚ฌ๋ฅผ ํ†ตํ•œ ๊ณต์ •์‹œ์Šคํ…œ ๊ณตํ•™์—์„œ์˜ ํ™•๋ฅ ๊ธฐ๊ณ„ํ•™์Šต ์ ‘๊ทผ๋ฒ•

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ํ™”ํ•™์ƒ๋ฌผ๊ณตํ•™๋ถ€, 2021.8. ์ด์ข…๋ฏผ.With the rapid development of measurement technology, higher quality and vast amounts of process data become available. Nevertheless, process data are โ€˜scarceโ€™ in many cases as they are sampled only at certain operating conditions while the dimensionality of the system is large. Furthermore, the process data are inherently stochastic due to the internal characteristics of the system or the measurement noises. For this reason, uncertainty is inevitable in process systems, and estimating it becomes a crucial part of engineering tasks as the prediction errors can lead to misguided decisions and cause severe casualties or economic losses. A popular approach to this is applying probabilistic inference techniques that can model the uncertainty in terms of probability. However, most of the existing probabilistic inference techniques are based on recursive sampling, which makes it difficult to use them for industrial applications that require processing a high-dimensional and massive amount of data. To address such an issue, this thesis proposes probabilistic machine learning approaches based on parametric distribution approximation, which can model the uncertainty of the system and circumvent the computational complexity as well. The proposed approach is applied for three major process engineering tasks: process monitoring, system modeling, and process design. First, a process monitoring framework is proposed that utilizes a probabilistic classifier for fault classification. To enhance the accuracy of the classifier and reduce the computational cost for its training, a feature extraction method called probabilistic manifold learning is developed and applied to the process data ahead of the fault classification. We demonstrate that this manifold approximation process not only reduces the dimensionality of the data but also casts the data into a clustered structure, making the classifier have a low dependency on the type and dimension of the data. By exploiting this property, non-metric information (e.g., fault labels) of the data is effectively incorporated and the diagnosis performance is drastically improved. Second, a probabilistic modeling approach based on Bayesian neural networks is proposed. The parameters of deep neural networks are transformed into Gaussian distributions and trained using variational inference. The redundancy of the parameter is autonomously inferred during the model training, and insignificant parameters are eliminated a posteriori. Through a verification study, we demonstrate that the proposed approach can not only produce high-fidelity models that describe the stochastic behaviors of the system but also produce the optimal model structure. Finally, a novel process design framework is proposed based on reinforcement learning. Unlike the conventional optimization methods that recursively evaluate the objective function to find an optimal value, the proposed method approximates the objective function surface by parametric probabilistic distributions. This allows learning the continuous action policy without introducing any cumbersome discretization process. Moreover, the probabilistic policy gives means for effective control of the exploration and exploitation rates according to the certainty information. We demonstrate that the proposed framework can learn process design heuristics during the solution process and use them to solve similar design problems.๊ณ„์ธก๊ธฐ์ˆ ์˜ ๋ฐœ๋‹ฌ๋กœ ์–‘์งˆ์˜, ๊ทธ๋ฆฌ๊ณ  ๋ฐฉ๋Œ€ํ•œ ์–‘์˜ ๊ณต์ • ๋ฐ์ดํ„ฐ์˜ ์ทจ๋“์ด ๊ฐ€๋Šฅํ•ด์กŒ๋‹ค. ๊ทธ๋Ÿฌ๋‚˜ ๋งŽ์€ ๊ฒฝ์šฐ ์‹œ์Šคํ…œ ์ฐจ์›์˜ ํฌ๊ธฐ์— ๋น„ํ•ด์„œ ์ผ๋ถ€ ์šด์ „์กฐ๊ฑด์˜ ๊ณต์ • ๋ฐ์ดํ„ฐ๋งŒ์ด ์ทจ๋“๋˜๊ธฐ ๋•Œ๋ฌธ์—, ๊ณต์ • ๋ฐ์ดํ„ฐ๋Š” โ€˜ํฌ์†Œโ€™ํ•˜๊ฒŒ ๋œ๋‹ค. ๋ฟ๋งŒ ์•„๋‹ˆ๋ผ, ๊ณต์ • ๋ฐ์ดํ„ฐ๋Š” ์‹œ์Šคํ…œ ๊ฑฐ๋™ ์ž์ฒด์™€ ๋”๋ถˆ์–ด ๊ณ„์ธก์—์„œ ๋ฐœ์ƒํ•˜๋Š” ๋…ธ์ด์ฆˆ๋กœ ์ธํ•œ ๋ณธ์งˆ์ ์ธ ํ™•๋ฅ ์  ๊ฑฐ๋™์„ ๋ณด์ธ๋‹ค. ๋”ฐ๋ผ์„œ ์‹œ์Šคํ…œ์˜ ์˜ˆ์ธก๋ชจ๋ธ์€ ์˜ˆ์ธก ๊ฐ’์— ๋Œ€ํ•œ ๋ถˆํ™•์‹ค์„ฑ์„ ์ •๋Ÿ‰์ ์œผ๋กœ ๊ธฐ์ˆ ํ•˜๋Š” ๊ฒƒ์ด ์š”๊ตฌ๋˜๋ฉฐ, ์ด๋ฅผ ํ†ตํ•ด ์˜ค์ง„์„ ์˜ˆ๋ฐฉํ•˜๊ณ  ์ž ์žฌ์  ์ธ๋ช… ํ”ผํ•ด์™€ ๊ฒฝ์ œ์  ์†์‹ค์„ ๋ฐฉ์ง€ํ•  ์ˆ˜ ์žˆ๋‹ค. ์ด์— ๋Œ€ํ•œ ๋ณดํŽธ์ ์ธ ์ ‘๊ทผ๋ฒ•์€ ํ™•๋ฅ ์ถ”์ •๊ธฐ๋ฒ•์„ ์‚ฌ์šฉํ•˜์—ฌ ์ด๋Ÿฌํ•œ ๋ถˆํ™•์‹ค์„ฑ์„ ์ •๋Ÿ‰ํ™” ํ•˜๋Š” ๊ฒƒ์ด๋‚˜, ํ˜„์กดํ•˜๋Š” ์ถ”์ •๊ธฐ๋ฒ•๋“ค์€ ์žฌ๊ท€์  ์ƒ˜ํ”Œ๋ง์— ์˜์กดํ•˜๋Š” ํŠน์„ฑ์ƒ ๊ณ ์ฐจ์›์ด๋ฉด์„œ๋„ ๋‹ค๋Ÿ‰์ธ ๊ณต์ •๋ฐ์ดํ„ฐ์— ์ ์šฉํ•˜๊ธฐ ์–ด๋ ต๋‹ค๋Š” ๊ทผ๋ณธ์ ์ธ ํ•œ๊ณ„๋ฅผ ๊ฐ€์ง„๋‹ค. ๋ณธ ํ•™์œ„๋…ผ๋ฌธ์—์„œ๋Š” ๋งค๊ฐœ๋ถ„ํฌ๊ทผ์‚ฌ์— ๊ธฐ๋ฐ˜ํ•œ ํ™•๋ฅ ๊ธฐ๊ณ„ํ•™์Šต์„ ์ ์šฉํ•˜์—ฌ ์‹œ์Šคํ…œ์— ๋‚ด์žฌ๋œ ๋ถˆํ™•์‹ค์„ฑ์„ ๋ชจ๋ธ๋งํ•˜๋ฉด์„œ๋„ ๋™์‹œ์— ๊ณ„์‚ฐ ํšจ์œจ์ ์ธ ์ ‘๊ทผ ๋ฐฉ๋ฒ•์„ ์ œ์•ˆํ•˜์˜€๋‹ค. ๋จผ์ €, ๊ณต์ •์˜ ๋ชจ๋‹ˆํ„ฐ๋ง์— ์žˆ์–ด ๊ฐ€์šฐ์‹œ์•ˆ ํ˜ผํ•ฉ ๋ชจ๋ธ (Gaussian mixture model)์„ ๋ถ„๋ฅ˜์ž๋กœ ์‚ฌ์šฉํ•˜๋Š” ํ™•๋ฅ ์  ๊ฒฐํ•จ ๋ถ„๋ฅ˜ ํ”„๋ ˆ์ž„์›Œํฌ๊ฐ€ ์ œ์•ˆ๋˜์—ˆ๋‹ค. ์ด๋•Œ ๋ถ„๋ฅ˜์ž์˜ ํ•™์Šต์—์„œ์˜ ๊ณ„์‚ฐ ๋ณต์žก๋„๋ฅผ ์ค„์ด๊ธฐ ์œ„ํ•˜์—ฌ ๋ฐ์ดํ„ฐ๋ฅผ ์ €์ฐจ์›์œผ๋กœ ํˆฌ์˜์‹œํ‚ค๋Š”๋ฐ, ์ด๋ฅผ ์œ„ํ•œ ํ™•๋ฅ ์  ๋‹ค์–‘์ฒด ํ•™์Šต (probabilistic manifold learn-ing) ๋ฐฉ๋ฒ•์ด ์ œ์•ˆ๋˜์—ˆ๋‹ค. ์ œ์•ˆํ•˜๋Š” ๋ฐฉ๋ฒ•์€ ๋ฐ์ดํ„ฐ์˜ ๋‹ค์–‘์ฒด (manifold)๋ฅผ ๊ทผ์‚ฌํ•˜์—ฌ ๋ฐ์ดํ„ฐ ํฌ์ธํŠธ ์‚ฌ์ด์˜ ์Œ๋ณ„ ์šฐ๋„ (pairwise likelihood)๋ฅผ ๋ณด์กดํ•˜๋Š” ํˆฌ์˜๋ฒ•์ด ์‚ฌ์šฉ๋œ๋‹ค. ์ด๋ฅผ ํ†ตํ•˜์—ฌ ๋ฐ์ดํ„ฐ์˜ ์ข…๋ฅ˜์™€ ์ฐจ์›์— ์˜์กด๋„๊ฐ€ ๋‚ฎ์€ ์ง„๋‹จ ๊ฒฐ๊ณผ๋ฅผ ์–ป์Œ๊ณผ ๋™์‹œ์— ๋ฐ์ดํ„ฐ ๋ ˆ์ด๋ธ”๊ณผ ๊ฐ™์€ ๋น„๊ฑฐ๋ฆฌ์  (non-metric) ์ •๋ณด๋ฅผ ํšจ์œจ์ ์œผ๋กœ ์‚ฌ์šฉํ•˜์—ฌ ๊ฒฐํ•จ ์ง„๋‹จ ๋Šฅ๋ ฅ์„ ํ–ฅ์ƒ์‹œํ‚ฌ ์ˆ˜ ์žˆ์Œ์„ ๋ณด์˜€๋‹ค. ๋‘˜์งธ๋กœ, ๋ฒ ์ด์ง€์•ˆ ์‹ฌ์ธต ์‹ ๊ฒฝ๋ง(Bayesian deep neural networks)์„ ์‚ฌ์šฉํ•œ ๊ณต์ •์˜ ํ™•๋ฅ ์  ๋ชจ๋ธ๋ง ๋ฐฉ๋ฒ•๋ก ์ด ์ œ์‹œ๋˜์—ˆ๋‹ค. ์‹ ๊ฒฝ๋ง์˜ ๊ฐ ๋งค๊ฐœ๋ณ€์ˆ˜๋Š” ๊ฐ€์šฐ์Šค ๋ถ„ํฌ๋กœ ์น˜ํ™˜๋˜๋ฉฐ, ๋ณ€๋ถ„์ถ”๋ก  (variational inference)์„ ํ†ตํ•˜์—ฌ ๊ณ„์‚ฐ ํšจ์œจ์ ์ธ ํ›ˆ๋ จ์ด ์ง„ํ–‰๋œ๋‹ค. ํ›ˆ๋ จ์ด ๋๋‚œ ํ›„ ํŒŒ๋ผ๋ฏธํ„ฐ์˜ ์œ ํšจ์„ฑ์„ ์ธก์ •ํ•˜์—ฌ ๋ถˆํ•„์š”ํ•œ ๋งค๊ฐœ๋ณ€์ˆ˜๋ฅผ ์†Œ๊ฑฐํ•˜๋Š” ์‚ฌํ›„ ๋ชจ๋ธ ์••์ถ• ๋ฐฉ๋ฒ•์ด ์‚ฌ์šฉ๋˜์—ˆ๋‹ค. ๋ฐ˜๋„์ฒด ๊ณต์ •์— ๋Œ€ํ•œ ์‚ฌ๋ก€ ์—ฐ๊ตฌ๋Š” ์ œ์•ˆํ•˜๋Š” ๋ฐฉ๋ฒ•์ด ๊ณต์ •์˜ ๋ณต์žกํ•œ ๊ฑฐ๋™์„ ํšจ๊ณผ์ ์œผ๋กœ ๋ชจ๋ธ๋ง ํ•  ๋ฟ๋งŒ ์•„๋‹ˆ๋ผ ๋ชจ๋ธ์˜ ์ตœ์  ๊ตฌ์กฐ๋ฅผ ๋„์ถœํ•  ์ˆ˜ ์žˆ์Œ์„ ๋ณด์—ฌ์ค€๋‹ค. ๋งˆ์ง€๋ง‰์œผ๋กœ, ๋ถ„ํฌํ˜• ์‹ฌ์ธต ์‹ ๊ฒฝ๋ง์„ ์‚ฌ์šฉํ•œ ๊ฐ•ํ™”ํ•™์Šต์„ ๊ธฐ๋ฐ˜์œผ๋กœ ํ•œ ํ™•๋ฅ ์  ๊ณต์ • ์„ค๊ณ„ ํ”„๋ ˆ์ž„์›Œํฌ๊ฐ€ ์ œ์•ˆ๋˜์—ˆ๋‹ค. ์ตœ์ ์น˜๋ฅผ ์ฐพ๊ธฐ ์œ„ํ•ด ์žฌ๊ท€์ ์œผ๋กœ ๋ชฉ์  ํ•จ์ˆ˜ ๊ฐ’์„ ํ‰๊ฐ€ํ•˜๋Š” ๊ธฐ์กด์˜ ์ตœ์ ํ™” ๋ฐฉ๋ฒ•๋ก ๊ณผ ๋‹ฌ๋ฆฌ, ๋ชฉ์  ํ•จ์ˆ˜ ๊ณก๋ฉด (objective function surface)์„ ๋งค๊ฐœํ™” ๋œ ํ™•๋ฅ ๋ถ„ํฌ๋กœ ๊ทผ์‚ฌํ•˜๋Š” ์ ‘๊ทผ๋ฒ•์ด ์ œ์‹œ๋˜์—ˆ๋‹ค. ์ด๋ฅผ ๊ธฐ๋ฐ˜์œผ๋กœ ์ด์‚ฐํ™” (discretization)๋ฅผ ์‚ฌ์šฉํ•˜์ง€ ์•Š๊ณ  ์—ฐ์†์  ํ–‰๋™ ์ •์ฑ…์„ ํ•™์Šตํ•˜๋ฉฐ, ํ™•์‹ค์„ฑ (certainty)์— ๊ธฐ๋ฐ˜ํ•œ ํƒ์ƒ‰ (exploration) ๋ฐ ํ™œ์šฉ (exploi-tation) ๋น„์œจ์˜ ์ œ์–ด๊ฐ€ ํšจ์œจ์ ์œผ๋กœ ์ด๋ฃจ์–ด์ง„๋‹ค. ์‚ฌ๋ก€ ์—ฐ๊ตฌ ๊ฒฐ๊ณผ๋Š” ๊ณต์ •์˜ ์„ค๊ณ„์— ๋Œ€ํ•œ ๊ฒฝํ—˜์ง€์‹ (heuristic)์„ ํ•™์Šตํ•˜๊ณ  ์œ ์‚ฌํ•œ ์„ค๊ณ„ ๋ฌธ์ œ์˜ ํ•ด๋ฅผ ๊ตฌํ•˜๋Š” ๋ฐ ์ด์šฉํ•  ์ˆ˜ ์žˆ์Œ์„ ๋ณด์—ฌ์ค€๋‹ค.Chapter 1 Introduction 1 1.1. Motivation 1 1.2. Outline of the thesis 5 Chapter 2 Backgrounds and preliminaries 9 2.1. Bayesian inference 9 2.2. Monte Carlo 10 2.3. Kullback-Leibler divergence 11 2.4. Variational inference 12 2.5. Riemannian manifold 13 2.6. Finite extended-pseudo-metric space 16 2.7. Reinforcement learning 16 2.8. Directed graph 19 Chapter 3 Process monitoring and fault classification with probabilistic manifold learning 20 3.1. Introduction 20 3.2. Methods 25 3.2.1. Uniform manifold approximation 27 3.2.2. Clusterization 28 3.2.3. Projection 31 3.2.4. Mapping of unknown data query 32 3.2.5. Inference 33 3.3. Verification study 38 3.3.1. Dataset description 38 3.3.2. Experimental setup 40 3.3.3. Process monitoring 43 3.3.4. Projection characteristics 47 3.3.5. Fault diagnosis 50 3.3.6. Computational Aspects 56 Chapter 4 Process system modeling with Bayesian neural networks 59 4.1. Introduction 59 4.2. Methods 63 4.2.1. Long Short-Term Memory (LSTM) 63 4.2.2. Bayesian LSTM (BLSTM) 66 4.3. Verification study 68 4.3.1. System description 68 4.3.2. Estimation of the plasma variables 71 4.3.3. Dataset description 72 4.3.4. Experimental setup 72 4.3.5. Weight regularization during training 78 4.3.6. Modeling complex behaviors of the system 80 4.3.7. Uncertainty quantification and model compression 85 Chapter 5 Process design based on reinforcement learning with distributional actor-critic networks 89 5.1. Introduction 89 5.2. Methods 93 5.2.1. Flowsheet hashing 93 5.2.2. Behavioral cloning 99 5.2.3. Neural Monte Carlo tree search (N-MCTS) 100 5.2.4. Distributional actor-critic networks (DACN) 105 5.2.5. Action masking 110 5.3. Verification study 110 5.3.1. System description 110 5.3.2. Experimental setup 111 5.3.3. Result and discussions 115 Chapter 6 Concluding remarks 120 6.1. Summary of the contributions 120 6.2. Future works 122 Appendix 125 A.1. Proof of Lemma 1 125 A.2. Performance indices for dimension reduction 127 A.3. Model equations for process units 130 Bibliography 132 ์ดˆ ๋ก 149๋ฐ•

    ์ฃผ์„ฑ๋ถ„๋ถ„์„๋ฒ•๊ณผ ๊ทธ๋ ˆ์ธ์ € ์ธ๊ณผ๊ด€๊ณ„๋ฅผ ์ด์šฉํ•œ ์‹ค์‹œ๊ฐ„ ๊ณต์ • ๋ชจ๋‹ˆํ„ฐ๋ง ๋ฐ ์ด์ƒ ์ „ํŒŒ ๊ฒฝ๋กœ ๊ณ„์‚ฐ

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ (๋ฐ•์‚ฌ)-- ์„œ์šธ๋Œ€ํ•™๊ต ๋Œ€ํ•™์› ๊ณต๊ณผ๋Œ€ํ•™ ํ™”ํ•™์ƒ๋ฌผ๊ณตํ•™๋ถ€, 2017. 8. ํ•œ์ข…ํ›ˆ.Modern industrial process is a complex device industry consisting of a combination of numerous unit processes. Numerous process parameters such as flow rate, temperature, pressure, concentration and composition have strong linear or nonlinear correlation. Since improvement of computing power and process control systems in industrial processes, several board operator and field operator can manage huge amounts of data and whole process information from industrial plant. However, the number of processes and devices to be handled by a single operator will increase, and operators meets a limitation of cognitive ability due to flood of information, causing problems such as process malfunction or instrumental failure. To solve this problem, we propose a PCA modeling procedures that aims to improve monitoring performance by variable selection, removing noise, operation mode classification and mode change detection. Fault diagnosis and causal analysis is also introduced. We calculated the causal relationship matrix between the process variables and find out the root cause of the unexpected process changes. The proposed approach was applied and validated to LNG plant located in Incheon and plasma condition monitoring in plasma etcher. Chapter 2 discusses the application methodologies of signal processing to eliminate noises from OES signal and multivariate statistical techniques to improve monitoring sensitivity. Among the plasma sensors, optical emission spectroscopy (OES) has been widely utilized and its high dimensionality has required multivariate analysis (MVA) techniques such as principal component analysis (PCA). PCA, however, might devaluate physical meaning of target process during its statistical calculation. In addition, inherent noise from charge coupled devices (CCD) array in OES might deteriorate PCA model performance. Therefore, it is desirable to pre-select physically important variables and to filter out noisy signals before modeling OES based plasma data. For these purposes, this chapter introduces a peak wavelength selection algorithm for selecting physically meaningful wavelength in plasma and discrete wavelet transform (DWT) for filtering out noisy signals from a CCD array. The effectiveness of the PCA model introduced in this paper is verified by comparing fault detection capabilities of conventional PCA model under the various source power or pressure faulty situations in a capacitively coupled plasma etcher. The PCA model introduced in this chapter successively detect even extremely small variation such as 0.67% of source power change even though the conventional PCA model fails to detect all of the faulty situations under the tests. Chapter 3 discusses the application methodology of operation mode identification and multimode PCA to improve the performance of LNG mixed refrigeration (MR) process and prevent process shutdown. LNG MR process is usually used for liquefying natural gas. The compressors for refrigerant compression are operated with the high-speed rotating parts to create a high-pressure. However, any malfunction in the compressors can lead to significant process downtime, catastrophic damage to equipment and potential safety consequences. The existing methodology assumes that the process has a single mode of operation, which makes it difficult to distinguish between a malfunction of the process and a change in mode of operation. Therefore, k-nearest neighbor algorithm (k-NN) is employed to classify the operation modes, which is integrated into multi-mode principal component analysis (MPCA) for process monitoring and fault detection. When the fault detection performance is evaluated with real LNG MR operation data, the proposed methodology shows more accurate and early detection capability than conventional PCA. Chapter 4 discusses PCA based fault amplification algorithm to detect both the root cause of fault and the fault propagation path in the system. The developed algorithm project the samples on the residual subspace (RS) to determine the disturbance propagation path. Usually, the RS of the fault data is superimposed with the normal process variations which should be minimized to amplify the fault magnitude. The RS containing amplified fault is then converted into the co-variance matrix followed by singular value decomposition (SVD) analysis which in turn generates the fault direction matrix corresponding to the largest eigenvalue. The fault variables are then re-arranged according to their magnitude of contribution towards a fault which in turn represents the fault propagation path using an absolute descending order functions. Moreover, the multivariate granger causality (MVGC) algorithm is used to analyze the causal relationship among the variables obtained from the developed algorithm. Both the methodologies are tested on the LNG fractionation process train and distillation column operation where some fault case scenarios are assumed to estimate the fault directions. It is observed that the hierarchy of variables obtained from fault propagation path algorithm are in good agreement with the MVGC algorithm. Therefore, fault amplification methodology can be used in industrial systems for identifying the root cause of fault as well as the fault propagation path. The application results show that the proposed multivariate statistical method can improve productivity and safety by providing useful information for process monitoring and fault diagnosis in various processes with distributed control system.CHAPTER 1 Introduction 1 1.1 Research motivation 1 1.2 Research objectives 4 1.3 Outline of the thesis 5 CHAPTER 2 : Multivariate monitoring, variable selection and OES signal filter design of plasma process 6 2.1 Introduction 6 2.2 Issues in PCA Modeling of OES based Plasma Data 8 2.3 Theoretical Background 11 2.3.1 Peak Wavelength Selection Algorithm 11 2.3.2 Discrete Wavelet Transform 14 2.4 Experimental Set-up 19 2.5 Results and Discussion 21 2.5.1 Pre-selected variables in OES data 21 2.5.2 Decomposition of OES signal by DWT 23 2.5.3 Comparison of Fault Detection Performance in OES based PCA Models 25 2.6 Conclusion 35 CHAPTER 3 : Multimode PCA and k-nearest neighbor algorithm for LNG mixed refrigeration process monitoring 36 3.1 Introduction 36 3.2 Target process and data description 38 3.3 Theoretical Background 45 3.3.1 Principal component analysis based fault detection 45 3.3.2 k-Nearest Neighbor classifier 48 3.4 Mode identification and fault detection 49 3.4.1 Operation mode identification and fault detection 49 3.5 Results and Conclusion 55 3.5.1 Consideration in LNG MR process monitoring 55 3.5.2 Global and local PCA modeling 59 3.5.3 Detection of operation mode 61 3.5.4 Comparison of fault detection performance 66 3.6 Conclusion 70 CHAPTER 4 : Estimation of disturbance propagation path using PCA and multivariate Granger Causality 71 4.1 Introduction 71 4.2 Theoretical Background 77 4.2.1 Fault propagation path detection 77 4.2.2 Causal analysis based on Granger Causality (GC) 82 4.3 Application to the Liquefied Natural Gas (LNG) Process 87 4.3.1 Process Description 87 4.3.2 Development of fault case scenarios 90 4.4 Conclusion 116 CHAPTER 5 Concluding Remarks 118 Nomenclature and Abbreviations 121 Literature cited 122 Abstract in Korean (์š” ์•ฝ) 133Docto

    Process diagnostics of industrial plasma systems

    Get PDF
    This thesis presents new techniques to investigate and understand the source of process variability in plasma etching. In a semiconductor factory thousands of wafers are processed every month in multiple chambers. Whi le great effort is made to create reproducible process conditions, common and special cause variation remain a big challenge for the semiconductor industry. Process conditions are never identical from wafer to wafer and chamber to chamber. When high-frequency RF power, employed to create a plasma, is coupled into a chamber, the electrical characteristics of each chamber assembly is different. This electrical difference is as a result of mechanical differences of chamber components and how they are assembled. RF losses of the current affect the power deposition in the plasma and affect the process outcome. As each chamber processes more and more wafers, by-products buildup on the chamber walls impacting the process repeatability and influencing the processing chemistry. The surface roughness of the electrode and other chamber materials impact the rate at which the by-products deposit, which may also affect the process repeatability both chemically and electrically. These sources of variation contribute to inconsistent processing conditions experienced by the wafers. The work in this thesis focuses on the measurement of this process variability using intrusive and nonintrusive sensors to measure the plasma parameters as accurately as possible. Statistical approaches are used to build correlations between etch rate variability and the sensor measurements. The main finding of the thesis concludes that the combination of appropriate process measurement with sensors and statistical algorithms provide a very powerful tool to a process engineer in diagnosing process variability

    Doctor of Philosophy

    Get PDF
    dissertationIn order to ensure high production yield of semiconductor devices, it is desirable to characterize intermediate progress towards the final product by using metrology tools to acquire relevant measurements after each sequential processing step. The metrology data are commonly used in feedback and feed-forward loops of Run-to-Run (R2R) controllers to improve process capability and optimize recipes from lot-to-lot or batch-to-batch. In this dissertation, we focus on two related issues. First, we propose a novel non-threaded R2R controller that utilizes all available metrology measurements, even when the data were acquired during prior runs that differed in their contexts from the current fabrication thread. The developed controller is the first known implementation of a non-threaded R2R control strategy that was successfully deployed in the high-volume production semiconductor fab. Its introduction improved the process capability by 8% compared with the traditional threaded R2R control and significantly reduced out of control (OOC) events at one of the most critical steps in NAND memory manufacturing. The second contribution demonstrates the value of developing virtual metrology (VM) estimators using the insight gained from multiphysics models. Unlike the traditional statistical regression techniques, which lead to linear models that depend on a linear combination of the available measurements, we develop VM models, the structure of which and the functional interdependence between their input and output variables are determined from the insight provided by the multiphysics describing the operation of the processing step for which the VM system is being developed. We demonstrate this approach for three different processes, and describe the superior performance of the developed VM systems after their first-of-a-kind deployment in a high-volume semiconductor manufacturing environment

    Study of a low cost inertial platfom for a femto-satellite deployed by a mini-launcher

    Get PDF
    Durante este TFC, el estudiante trabaja de forma intensa en la modelizaciรณn y validaciรณn para el espacio de una plataforma inercial asรญ como de un estudio del impacto en la trayectoria deribado del error de la plataforma inercial. En una primera fase se define lo que es un femto-satรฉlite y una mini-lanzadera. Se presenta la tecnologรญa de bajo coste para el espacio y el paradigma 'space payload', es decir, realizar un diseรฑo de ingenierรญa en funciรณn de la carga de pago y no en funciรณn de la industria. Se describe el programa de espacio WikiSat donde se define un femto-satรฉlite en concreto que cumple con los requisitos del concurso N-Prize y de su mini-lanzadera. Tambiรฉn se genera una lista de subsistemas que forman el binomio satรฉlite-lanzadera. La parte importante de este TFC gira al rededor de la caracterizaciรณn de la plataforma inercial que va a llevar el femto-satรฉlite y que va a dirigir la trayectoria de la misma mini-lanzadera a fin de obtener sus actuaciones, asegurando que la fiabilidad de dicho componente se corresponde con los requerimientos de sistemas Single-Fault-Tolerant sin redundancia. Se define una librerรญa para gestionar los datos inerciales de los acelerรณmetros, girรณscopos y datos atmosfรฉricos / elรฉctricos que nos permite corregir los errores que se producen en diferentes condiciones de trabajo. Por รบltimo se valida la plataforma inercial en cuanto a calificarla para el espacio (Radiaciรณn, vacรญo, cambios tรฉrmicos, etc.) apoyado con alguna simulaciรณn en SPENVIS y ensayo real. Tambiรฉn se realiza un estudio de la trayectoria usada en el programa de espacio WikiSat, a fin de modelizar las condiciones en las que se va a encontrar dicha plataforma en el femto-satรฉlite y la mini-lanzadera. Este estudio estรก basado en la presuposiciรณn de la alteraciรณn de la trayectoria debido a fallos de motor o errores introducidos en el control de navegaciรณn a fin de determinar una polรญtica de actuaciรณn de emergencia del sistema de autodestrucciรณn
    • โ€ฆ
    corecore