204 research outputs found

    Uneven illumination surface defects inspection based on convolutional neural network

    Full text link
    Surface defect inspection based on machine vision is often affected by uneven illumination. In order to improve the inspection rate of surface defects inspection under uneven illumination condition, this paper proposes a method for detecting surface image defects based on convolutional neural network, which is based on the adjustment of convolutional neural networks, training parameters, changing the structure of the network, to achieve the purpose of accurately identifying various defects. Experimental on defect inspection of copper strip and steel images shows that the convolutional neural network can automatically learn features without preprocessing the image, and correct identification of various types of image defects affected by uneven illumination, thus overcoming the drawbacks of traditional machine vision inspection methods under uneven illumination

    Automated Semiconductor Defect Inspection in Scanning Electron Microscope Images: a Systematic Review

    Full text link
    A growing need exists for efficient and accurate methods for detecting defects in semiconductor materials and devices. These defects can have a detrimental impact on the efficiency of the manufacturing process, because they cause critical failures and wafer-yield limitations. As nodes and patterns get smaller, even high-resolution imaging techniques such as Scanning Electron Microscopy (SEM) produce noisy images due to operating close to sensitivity levels and due to varying physical properties of different underlayers or resist materials. This inherent noise is one of the main challenges for defect inspection. One promising approach is the use of machine learning algorithms, which can be trained to accurately classify and locate defects in semiconductor samples. Recently, convolutional neural networks have proved to be particularly useful in this regard. This systematic review provides a comprehensive overview of the state of automated semiconductor defect inspection on SEM images, including the most recent innovations and developments. 38 publications were selected on this topic, indexed in IEEE Xplore and SPIE databases. For each of these, the application, methodology, dataset, results, limitations and future work were summarized. A comprehensive overview and analysis of their methods is provided. Finally, promising avenues for future work in the field of SEM-based defect inspection are suggested.Comment: 16 pages, 12 figures, 3 table

    ์ œ์กฐ ์‹œ์Šคํ…œ์—์„œ์˜ ์˜ˆ์ธก ๋ชจ๋ธ๋ง์„ ์œ„ํ•œ ์ง€๋Šฅ์  ๋ฐ์ดํ„ฐ ํš๋“

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ (๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต ๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์‚ฐ์—…๊ณตํ•™๊ณผ, 2021. 2. ์กฐ์„ฑ์ค€.Predictive modeling is a type of supervised learning to find the functional relationship between the input variables and the output variable. Predictive modeling is used in various aspects in manufacturing systems, such as automation of visual inspection, prediction of faulty products, and result estimation of expensive inspection. To build a high-performance predictive model, it is essential to secure high quality data. However, in manufacturing systems, it is practically impossible to acquire enough data of all kinds that are needed for the predictive modeling. There are three main difficulties in the data acquisition in manufacturing systems. First, labeled data always comes with a cost. In many problems, labeling must be done by experienced engineers, which is costly. Second, due to the inspection cost, not all inspections can be performed on all products. Because of time and monetary constraints in the manufacturing system, it is impossible to obtain all the desired inspection results. Third, changes in the manufacturing environment make data acquisition difficult. A change in the manufacturing environment causes a change in the distribution of generated data, making it impossible to obtain enough consistent data. Then, the model have to be trained with a small amount of data. In this dissertation, we overcome this difficulties in data acquisition through active learning, active feature-value acquisition, and domain adaptation. First, we propose an active learning framework to solve the high labeling cost of the wafer map pattern classification. This makes it possible to achieve higher performance with a lower labeling cost. Moreover, the cost efficiency is further improved by incorporating the cluster-level annotation into active learning. For the inspection cost for fault prediction problem, we propose a active inspection framework. By selecting products to undergo high-cost inspection with the novel uncertainty estimation method, high performance can be obtained with low inspection cost. To solve the recipe transition problem that frequently occurs in faulty wafer prediction in semiconductor manufacturing, a domain adaptation methods are used. Through sequential application of unsupervised domain adaptation and semi-supervised domain adaptation, performance degradation due to recipe transition is minimized. Through experiments on real-world data, it was demonstrated that the proposed methodologies can overcome the data acquisition problems in the manufacturing systems and improve the performance of the predictive models.์˜ˆ์ธก ๋ชจ๋ธ๋ง์€ ์ง€๋„ ํ•™์Šต์˜ ์ผ์ข…์œผ๋กœ, ํ•™์Šต ๋ฐ์ดํ„ฐ๋ฅผ ํ†ตํ•ด ์ž…๋ ฅ ๋ณ€์ˆ˜์™€ ์ถœ๋ ฅ ๋ณ€์ˆ˜ ๊ฐ„์˜ ํ•จ์ˆ˜์  ๊ด€๊ณ„๋ฅผ ์ฐพ๋Š” ๊ณผ์ •์ด๋‹ค. ์ด๋Ÿฐ ์˜ˆ์ธก ๋ชจ๋ธ๋ง์€ ์œก์•ˆ ๊ฒ€์‚ฌ ์ž๋™ํ™”, ๋ถˆ๋Ÿ‰ ์ œํ’ˆ ์‚ฌ์ „ ํƒ์ง€, ๊ณ ๋น„์šฉ ๊ฒ€์‚ฌ ๊ฒฐ๊ณผ ์ถ”์ • ๋“ฑ ์ œ์กฐ ์‹œ์Šคํ…œ ์ „๋ฐ˜์— ๊ฑธ์ณ ํ™œ์šฉ๋œ๋‹ค. ๋†’์€ ์„ฑ๋Šฅ์˜ ์˜ˆ์ธก ๋ชจ๋ธ์„ ๋‹ฌ์„ฑํ•˜๊ธฐ ์œ„ํ•ด์„œ๋Š” ์–‘์งˆ์˜ ๋ฐ์ดํ„ฐ๊ฐ€ ํ•„์ˆ˜์ ์ด๋‹ค. ํ•˜์ง€๋งŒ ์ œ์กฐ ์‹œ์Šคํ…œ์—์„œ ์›ํ•˜๋Š” ์ข…๋ฅ˜์˜ ๋ฐ์ดํ„ฐ๋ฅผ ์›ํ•˜๋Š” ๋งŒํผ ํš๋“ํ•˜๋Š” ๊ฒƒ์€ ํ˜„์‹ค์ ์œผ๋กœ ๊ฑฐ์˜ ๋ถˆ๊ฐ€๋Šฅํ•˜๋‹ค. ๋ฐ์ดํ„ฐ ํš๋“์˜ ์–ด๋ ค์›€์€ ํฌ๊ฒŒ ์„ธ๊ฐ€์ง€ ์›์ธ์— ์˜ํ•ด ๋ฐœ์ƒํ•œ๋‹ค. ์ฒซ๋ฒˆ์งธ๋กœ, ๋ผ๋ฒจ๋ง์ด ๋œ ๋ฐ์ดํ„ฐ๋Š” ํ•ญ์ƒ ๋น„์šฉ์„ ์ˆ˜๋ฐ˜ํ•œ๋‹ค๋Š” ์ ์ด๋‹ค. ๋งŽ์€ ๋ฌธ์ œ์—์„œ, ๋ผ๋ฒจ๋ง์€ ์ˆ™๋ จ๋œ ์—”์ง€๋‹ˆ์–ด์— ์˜ํ•ด ์ˆ˜ํ–‰๋˜์–ด์•ผ ํ•˜๊ณ , ์ด๋Š” ํฐ ๋น„์šฉ์„ ๋ฐœ์ƒ์‹œํ‚จ๋‹ค. ๋‘๋ฒˆ์งธ๋กœ, ๊ฒ€์‚ฌ ๋น„์šฉ ๋•Œ๋ฌธ์— ๋ชจ๋“  ๊ฒ€์‚ฌ๊ฐ€ ๋ชจ๋“  ์ œํ’ˆ์— ๋Œ€ํ•ด ์ˆ˜ํ–‰๋  ์ˆ˜ ์—†๋‹ค. ์ œ์กฐ ์‹œ์Šคํ…œ์—๋Š” ์‹œ๊ฐ„์ , ๊ธˆ์ „์  ์ œ์•ฝ์ด ์กด์žฌํ•˜๊ธฐ ๋•Œ๋ฌธ์—, ์›ํ•˜๋Š” ๋ชจ๋“  ๊ฒ€์‚ฌ ๊ฒฐ๊ณผ๊ฐ’์„ ํš๋“ํ•˜๋Š” ๊ฒƒ์ด ์–ด๋ ต๋‹ค. ์„ธ๋ฒˆ์งธ๋กœ, ์ œ์กฐ ํ™˜๊ฒฝ์˜ ๋ณ€ํ™”๊ฐ€ ๋ฐ์ดํ„ฐ ํš๋“์„ ์–ด๋ ต๊ฒŒ ๋งŒ๋“ ๋‹ค. ์ œ์กฐ ํ™˜๊ฒฝ์˜ ๋ณ€ํ™”๋Š” ์ƒ์„ฑ๋˜๋Š” ๋ฐ์ดํ„ฐ์˜ ๋ถ„ํฌ๋ฅผ ๋ณ€ํ˜•์‹œ์ผœ, ์ผ๊ด€์„ฑ ์žˆ๋Š” ๋ฐ์ดํ„ฐ๋ฅผ ์ถฉ๋ถ„ํžˆ ํš๋“ํ•˜์ง€ ๋ชปํ•˜๊ฒŒ ํ•œ๋‹ค. ์ด๋กœ ์ธํ•ด ์ ์€ ์–‘์˜ ๋ฐ์ดํ„ฐ๋งŒ์œผ๋กœ ๋ชจ๋ธ์„ ์žฌํ•™์Šต์‹œ์ผœ์•ผ ํ•˜๋Š” ์ƒํ™ฉ์ด ๋นˆ๋ฒˆํ•˜๊ฒŒ ๋ฐœ์ƒํ•œ๋‹ค. ๋ณธ ๋…ผ๋ฌธ์—์„œ๋Š” ์ด๋Ÿฐ ๋ฐ์ดํ„ฐ ํš๋“์˜ ์–ด๋ ค์›€์„ ๊ทน๋ณตํ•˜๊ธฐ ์œ„ํ•ด ๋Šฅ๋™ ํ•™์Šต, ๋Šฅ๋™ ํ”ผ์ณ๊ฐ’ ํš๋“, ๋„๋ฉ”์ธ ์ ์‘ ๋ฐฉ๋ฒ•์„ ํ™œ์šฉํ•œ๋‹ค. ๋จผ์ €, ์›จ์ดํผ ๋งต ํŒจํ„ด ๋ถ„๋ฅ˜ ๋ฌธ์ œ์˜ ๋†’์€ ๋ผ๋ฒจ๋ง ๋น„์šฉ์„ ํ•ด๊ฒฐํ•˜๊ธฐ ์œ„ํ•ด ๋Šฅ๋™ํ•™์Šต ํ”„๋ ˆ์ž„์›Œํฌ๋ฅผ ์ œ์•ˆํ•œ๋‹ค. ์ด๋ฅผ ํ†ตํ•ด ์ ์€ ๋ผ๋ฒจ๋ง ๋น„์šฉ์œผ๋กœ ๋†’์€ ์„ฑ๋Šฅ์˜ ๋ถ„๋ฅ˜ ๋ชจ๋ธ์„ ๊ตฌ์ถ•ํ•  ์ˆ˜ ์žˆ๋‹ค. ๋‚˜์•„๊ฐ€, ๊ตฐ์ง‘ ๋‹จ์œ„์˜ ๋ผ๋ฒจ๋ง ๋ฐฉ๋ฒ•์„ ๋Šฅ๋™ํ•™์Šต์— ์ ‘๋ชฉํ•˜์—ฌ ๋น„์šฉ ํšจ์œจ์„ฑ์„ ํ•œ์ฐจ๋ก€ ๋” ๊ฐœ์„ ํ•œ๋‹ค. ์ œํ’ˆ ๋ถˆ๋Ÿ‰ ์˜ˆ์ธก์— ํ™œ์šฉ๋˜๋Š” ๊ฒ€์‚ฌ ๋น„์šฉ ๋ฌธ์ œ๋ฅผ ํ•ด๊ฒฐํ•˜๊ธฐ ์œ„ํ•ด์„œ๋Š” ๋Šฅ๋™ ๊ฒ€์‚ฌ ๋ฐฉ๋ฒ•์„ ์ œ์•ˆํ•œ๋‹ค. ์ œ์•ˆํ•˜๋Š” ์ƒˆ๋กœ์šด ๋ถˆํ™•์‹ค์„ฑ ์ถ”์ • ๋ฐฉ๋ฒ•์„ ํ†ตํ•ด ๊ณ ๋น„์šฉ ๊ฒ€์‚ฌ ๋Œ€์ƒ ์ œํ’ˆ์„ ์„ ํƒํ•จ์œผ๋กœ์จ ์ ์€ ๊ฒ€์‚ฌ ๋น„์šฉ์œผ๋กœ ๋†’์€ ์„ฑ๋Šฅ์„ ์–ป์„ ์ˆ˜ ์žˆ๋‹ค. ๋ฐ˜๋„์ฒด ์ œ์กฐ์˜ ์›จ์ดํผ ๋ถˆ๋Ÿ‰ ์˜ˆ์ธก์—์„œ ๋นˆ๋ฒˆํ•˜๊ฒŒ ๋ฐœ์ƒํ•˜๋Š” ๋ ˆ์‹œํ”ผ ๋ณ€๊ฒฝ ๋ฌธ์ œ๋ฅผ ํ•ด๊ฒฐํ•˜๊ธฐ ์œ„ํ•ด์„œ๋Š” ๋„๋ฉ”์ธ ์ ์‘ ๋ฐฉ๋ฒ•์„ ํ™œ์šฉํ•œ๋‹ค. ๋น„๊ต์‚ฌ ๋„๋ฉ”์ธ ์ ์‘๊ณผ ๋ฐ˜๊ต์‚ฌ ๋„๋ฉ”์ธ ์ ์‘์˜ ์ˆœ์ฐจ์ ์ธ ์ ์šฉ์„ ํ†ตํ•ด ๋ ˆ์‹œํ”ผ ๋ณ€๊ฒฝ์— ์˜ํ•œ ์„ฑ๋Šฅ ์ €ํ•˜๋ฅผ ์ตœ์†Œํ™”ํ•œ๋‹ค. ๋ณธ ๋…ผ๋ฌธ์—์„œ๋Š” ์‹ค์ œ ๋ฐ์ดํ„ฐ์— ๋Œ€ํ•œ ์‹คํ—˜์„ ํ†ตํ•ด ์ œ์•ˆ๋œ ๋ฐฉ๋ฒ•๋ก ๋“ค์ด ์ œ์กฐ์‹œ์Šคํ…œ์˜ ๋ฐ์ดํ„ฐ ํš๋“ ๋ฌธ์ œ๋ฅผ ๊ทน๋ณตํ•˜๊ณ  ์˜ˆ์ธก ๋ชจ๋ธ์˜ ์„ฑ๋Šฅ์„ ๋†’์ผ ์ˆ˜ ์žˆ์Œ์„ ํ™•์ธํ•˜์˜€๋‹ค.1. Introduction 1 2. Literature Review 9 2.1 Review of Related Methodologies 9 2.1.1 Active Learning 9 2.1.2 Active Feature-value Acquisition 11 2.1.3 Domain Adaptation 14 2.2 Review of Predictive Modelings in Manufacturing 15 2.2.1 Wafer Map Pattern Classification 15 2.2.2 Fault Detection and Classification 16 3. Active Learning for Wafer Map Pattern Classification 19 3.1 Problem Description 19 3.2 Proposed Method 21 3.2.1 System overview 21 3.2.2 Prediction model 25 3.2.3 Uncertainty estimation 25 3.2.4 Query wafer selection 29 3.2.5 Query wafer labeling 30 3.2.6 Model update 30 3.3 Experiments 31 3.3.1 Data description 31 3.3.2 Experimental design 31 3.3.3 Results and discussion 34 4. Active Cluster Annotation for Wafer Map Pattern Classification 42 4.1 Problem Description 42 4.2 Proposed Method 44 4.2.1 Clustering of unlabeled data 46 4.2.2 CNN training with labeled data 48 4.2.3 Cluster-level uncertainty estimation 49 4.2.4 Query cluster selection 50 4.2.5 Cluster-level annotation 50 4.3 Experiments 51 4.3.1 Data description 51 4.3.2 Experimental setting 51 4.3.3 Clustering results 53 4.3.4 Classification performance 54 4.3.5 Analysis for label noise 57 5. Active Inspection for Fault Prediction 60 5.1 Problem Description 60 5.2 Proposed Method 65 5.2.1 Active inspection framework 65 5.2.2 Acquisition based on Expected Prediction Change 68 5.3 Experiments 71 5.3.1 Data description 71 5.3.2 Fault prediction models 72 5.3.3 Experimental design 73 5.3.4 Results and discussion 74 6. Adaptive Fault Detection for Recipe Transition 76 6.1 Problem Description 76 6.2 Proposed Method 78 6.2.1 Overview 78 6.2.2 Unsupervised adaptation phase 81 6.2.3 Semi-supervised adaptation phase 83 6.3 Experiments 85 6.3.1 Data description 85 6.3.2 Experimental setting 85 6.3.3 Performance degradation caused by recipe transition 86 6.3.4 Effect of unsupervised adaptation 87 6.3.5 Effect of semi-supervised adaptation 88 7. Conclusion 91 7.1 Contributions 91 7.2 Future work 94Docto

    Entwicklung einer Fully-Convolutional-Netzwerkarchitektur fรผr die Detektion von defekten LED-Chips in Photolumineszenzbildern

    Get PDF
    Nowadays, light-emitting diodes (LEDs) can be found in a large variety of applications, from standard LEDs in domestic lighting solutions to advanced chip designs in automobiles, smart watches and video walls. The advances in chip design also affect the test processes, where the execution of certain contact measurements is exacerbated by ever decreasing chip dimensions or even rendered impossible due to the chip design. As an instance, wafer probing determines the electrical and optical properties of all LED chips on a wafer by contacting each and every chip with a prober needle. Chip designs without a contact pad on the surface, however, elude wafer probing and while electrical and optical properties can be determined by sample measurements, defective LED chips are distributed randomly over the wafer. Here, advanced data analysis methods provide a new approach to gather defect information from already available non-contact measurements. Photoluminescence measurements, for example, record a brightness image of an LED wafer, where conspicuous brightness values indicate defective chips. To extract these defect information from photoluminescence images, a computer-vision algorithm is required that transforms photoluminescence images into defect maps. In other words, each and every pixel of a photoluminescence image must be classifed into a class category via semantic segmentation, where so-called fully-convolutional-network algorithms represent the state-of-the-art method. However, the aforementioned task poses several challenges: on the one hand, each pixel in a photoluminescence image represents an LED chip and thus, pixel-fine output resolution is required. On the other hand, photoluminescence images show a variety of brightness values from wafer to wafer in addition to local areas of differing brightness. Additionally, clusters of defective chips assume various shapes, sizes and brightness gradients and thus, the algorithm must reliably recognise objects at multiple scales. Finally, not all salient brightness values correspond to defective LED chips, requiring the algorithm to distinguish salient brightness values corresponding to measurement artefacts, non-defect structures and defects, respectively. In this dissertation, a novel fully-convolutional-network architecture was developed that allows the accurate segmentation of defective LED chips in highly variable photoluminescence wafer images. For this purpose, the basic fully-convolutional-network architecture was modifed with regard to the given application and advanced architectural concepts were incorporated so as to enable a pixel-fine output resolution and a reliable segmentation of multiple scaled defect structures. Altogether, the developed dense ASPP Vaughan architecture achieved a pixel accuracy of 97.5 %, mean pixel accuracy of 96.2% and defect-class accuracy of 92.0 %, trained on a dataset of 136 input-label pairs and hereby showed that fully-convolutional-network algorithms can be a valuable contribution to data analysis in industrial manufacturing.Leuchtdioden (LEDs) werden heutzutage in einer Vielzahl von Anwendungen verbaut, angefangen bei Standard-LEDs in der Hausbeleuchtung bis hin zu technisch fortgeschrittenen Chip-Designs in Automobilen, Smartwatches und Videowรคnden. Die Weiterentwicklungen im Chip-Design beeinflussen auch die Testprozesse: Hierbei wird die Durchfรผhrung bestimmter Kontaktmessungen durch zunehmend verringerte Chip-Dimensionen entweder erschwert oder ist aufgrund des Chip-Designs unmรถglich. Die sogenannteWafer-Prober-Messung beispielsweise ermittelt die elektrischen und optischen Eigenschaften aller LED-Chips auf einem Wafer, indem jeder einzelne Chip mit einer Messnadel kontaktiert und vermessen wird; Chip-Designs ohne Kontaktpad auf der Oberflรคche kรถnnen daher nicht durch die Wafer-Prober-Messung charakterisiert werden. Wรคhrend die elektrischen und optischen Chip-Eigenschaften auch mittels Stichprobenmessungen bestimmt werden kรถnnen, verteilen sich defekte LED-Chips zufรคllig รผber die Waferflรคche. Fortgeschrittene Datenanalysemethoden ermรถglichen hierbei einen neuen Ansatz, Defektinformationen aus bereits vorhandenen, berรผhrungslosen Messungen zu gewinnen. Photolumineszenzmessungen, beispielsweise, erfassen ein Helligkeitsbild des LEDWafers, in dem auffรคllige Helligkeitswerte auf defekte LED-Chips hinweisen. Ein Bildverarbeitungsalgorithmus, der diese Defektinformationen aus Photolumineszenzbildern extrahiert und ein Defektabbild erstellt, muss hierzu jeden einzelnen Bildpunkt mittels semantischer Segmentation klassifizieren, eine Technik bei der sogenannte Fully-Convolutional-Netzwerke den Stand der Technik darstellen. Die beschriebene Aufgabe wird jedoch durch mehrere Faktoren erschwert: Einerseits entspricht jeder Bildpunkt eines Photolumineszenzbildes einem LED-Chip, so dass eine bildpunktfeine Auflรถsung der Netzwerkausgabe notwendig ist. Andererseits weisen Photolumineszenzbilder sowohl stark variierende Helligkeitswerte von Wafer zu Wafer als auch lokal begrenzte Helligkeitsabweichungen auf. Zusรคtzlich nehmen Defektanhรคufungen unterschiedliche Formen, GrรถรŸen und Helligkeitsgradienten an, weswegen der Algorithmus Objekte verschiedener Abmessungen zuverlรคssig erkennen kรถnnen muss. Schlussendlich weisen nicht alle auffรคlligen Helligkeitswerte auf defekte LED-Chips hin, so dass der Algorithmus in der Lage sein muss zu unterscheiden, ob auffรคllige Helligkeitswerte mit Messartefakten, defekten LED-Chips oder defektfreien Strukturen korrelieren. In dieser Dissertation wurde eine neuartige Fully-Convolutional-Netzwerkarchitektur entwickelt, die die akkurate Segmentierung defekter LED-Chips in stark variierenden Photolumineszenzbildern von LED-Wafern ermรถglicht. Zu diesem Zweck wurde die klassische Fully-Convolutional-Netzwerkarchitektur hinsichtlich der beschriebenen Anwendung angepasst und fortgeschrittene architektonische Konzepte eingearbeitet, um eine bildpunktfeine Ausgabeauflรถsung und eine zuverlรคssige Sementierung verschieden groรŸer Defektstrukturen umzusetzen. Insgesamt erzielt die entwickelte dense-ASPP-Vaughan-Architektur eine Pixelgenauigkeit von 97,5 %, durchschnittliche Pixelgenauigkeit von 96,2% und eine Defektklassengenauigkeit von 92,0 %, trainiert mit einem Datensatz von 136 Bildern. Hiermit konnte gezeigt werden, dass Fully-Convolutional-Netzwerke eine wertvolle Erweiterung der Datenanalysemethoden sein kรถnnen, die in der industriellen Fertigung eingesetzt werden

    Semiconductor Defect Pattern Classification by Self-Proliferation-and-Attention Neural Network

    Full text link
    Semiconductor manufacturing is on the cusp of a revolution: the Internet of Things (IoT). With IoT we can connect all the equipment and feed information back to the factory so that quality issues can be detected. In this situation, more and more edge devices are used in wafer inspection equipment. This edge device must have the ability to quickly detect defects. Therefore, how to develop a high-efficiency architecture for automatic defect classification to be suitable for edge devices is the primary task. In this paper, we present a novel architecture that can perform defect classification in a more efficient way. The first function is self-proliferation, using a series of linear transformations to generate more feature maps at a cheaper cost. The second function is self-attention, capturing the long-range dependencies of feature map by the channel-wise and spatial-wise attention mechanism. We named this method as self-proliferation-and-attention neural network. This method has been successfully applied to various defect pattern classification tasks. Compared with other latest methods, SP&A-Net has higher accuracy and lower computation cost in many defect inspection tasks
    • โ€ฆ
    corecore