15 research outputs found

    Ion fluxes towards surfaces exposed to EUV-induced plasmas

    No full text

    Time-resolved ion energy distribution functions in the afterglow of an EUV-induced plasma

    No full text
    Since the introduction of extreme ultraviolet (EUV) lithography (EUVL), the inevitable presence of EUV-induced plasmas inside the lithography tools impacts the operation of EUV optical components. EUV-induced plasmas are created everywhere in the optical path due to the ionizing interaction between the high energy (92 eV) EUV photons and the tools' background gas, which typically is hydrogen gas at a pressure of 1–10 Pa. From a physical point of view, the main impact of the plasma is due to the presence of ions that imping the plasma-facing surfaces. Experimental research into the fluence and energy distribution functions (IEDFs) of ions from EUV-induced plasmas has been limited to time-averaged measurements. In this Letter, we present time-resolved measurements of IEDFs for H+, H2+, and H3+ ions from an EUV-induced plasma in pure hydrogen gas. To this end, an electrostatic quadrupole plasma (EQP) analyzer has been used. The measurements pinpointed momentary fluxes up to three orders of magnitude higher than earlier reported average ion fluxes. In addition, the mean ion energy was unexpectedly found to remain elevated up to 50 μs after the gas had been irradiated with EUV photons. Also, it was shown that the EQP detects H2+ ions on time scales much larger than expected. The presented results are valuable not only for the understanding of elementary processes regarding EUV-induced plasmas interacting with surfaces but also for simulating and predicting the impact of EUV-induced plasma on the lifetime and stability of optical components in EUVL

    EUV-induced plasma: a peculiar phenomenon of a modern lithographic technology

    No full text
    After a long period of relatively low interest, science related to effects in the Extreme Ultraviolet (EUV) spectrum range experienced an explosive boom of publications in the last decades. A new application of EUV in lithography was the reason for such a growth. Naturally, an intensive development in such area produces a snowball effect of relatively uncharted phenomena. EUV-induced plasma is one of those. While being produced in the volume of a rarefied gas, it has a direct impact onto optical surfaces and construction materials of lithography machines, and thus has not only scientific peculiarity, but it is also of major interest for the technological application. The current article provides an overview of the existing knowledge regarding EUV-induced plasma characteristics. It describes common, as well as distinguishing, features of it in comparison with other plasmas and discusses its interaction with solid materials. This article will also identify the gaps in the existing knowledge and it will propose ways to bridge them

    Energy distribution functions for ions from pulsed EUV-induced plasmas in low pressure N2-diluted H2 gas

    No full text
    The operation of Extreme Ultraviolet (EUV) lithography scanners inherently goes hand-in-hand with the creation of highly transient pulsed plasmas in the optical path of these tools. These so-called EUV-induced plasmas are created upon photoionization events when a pulsed beam of EUV photons travels through the low pressure background gas. It is fully recognized by the lithography industry that EUV-induced plasmas may significantly impact the quality and life-time of expensive and delicate optical elements in the scanner. Research efforts into EUV-induced plasmas impacting plasma-facing surfaces have so far been limited to pure hydrogen (H2) plasmas. However, this hydrogen background gas may occasionally be diluted with a small fraction of another molecular gas such as nitrogen (N2). The impact on the relevant plasma parameters of such molecular contaminants has remained unknown until now. In this letter, we put forward measurements of energy-resolved fluxes of (positive) hydrogen ions, nitrogen ions, and hydrogen-nitrogen ions created in a pulsed N2-diluted EUV-induced plasma in H2 at approximately 5 Pa (typical EUV lithography scanner conditions). The data have been obtained using an electrostatic quadrupole plasma analyzer and show that although the N2-dilution fraction is small (∼2 × 10−3) compared to the H2 partial pressure, implications for the ion flux out of the plasma and the composition thereof are significant. Since the mass of nitrogen-containing ions is much higher in comparison to that of their hydrogen counterparts, and because of their potential chemical activity, this effect has to be taken into account while studying the surface impact of EUV-induced plasmas

    Investigation of ion energy distribution functions in EUV-induced plasmas by ion mass spectrometry

    No full text
    The creation of plasma by direct photo ionization by extreme ultraviolet radiation (EUV, 13.5 nm) is a common phenomenon in extraterrestrial planetary nebulae. However, this process has been difficult to reproduce in a laboratory\u3cbr/\u3ebecause of the scarceness of EUV radiation sources. With the development of next-generation lithography tools, using EUV radiation to create smaller features on computer chips, EUV induced plasmas are now created in the low pressure background gas in lithography tools. Industries have realized that these plasmas are of significant importance with respect to machine lifetime.\u3cbr/\u3eEUV induced plasmas affect exposed surfaces due to impacting ions. In this research an ion mass spectrometer, capable of measuring mass resolved energy spectra, is used to investigate the ion fluxes and ion energy distribution functions (IEDF) of EUV-induced plasmas. A xenon pinch discharge produces EUV radiation, which is focused into a measuring vessel with a low pressure hydrogen environment. In this vessel photo ionization creates free electrons with energies up to 76 eV, which further ionize the background gas by electron impact ionization.\u3cbr/\u3eIons are sampled through a 50 μm orifice in the spectrometer’s front plate. The influence of pressure and EUV power on the IEDF of the EUV-induces plasma are\u3cbr/\u3einvestigated. The results show the fast transformation of H2+ to H3+ by collisions with the background gas as a decrease in H2+ / H3+-ratio with pressure and distance to the EUV beam. The creation of plasma by direct photo ionization by extreme ultraviolet radiation (EUV, 13.5 nm) is a common phenomenon in extraterrestrial planetary nebulae. However, this process has been difficult to reproduce in a laboratory because of the scarceness of EUV radiation sources. With the development of next-generation lithography tools, using EUV radiation to create smaller features on computer chips, EUV induced plasmas are now created in the low pressure background gas in lithography tools. Industries have realized that these plasmas are of significant importance with respect to machine lifetime. EUV induced plasmas affect exposed surfaces due to impacting ions. In this research an ion mass spectrometer, capable of measuring mass resolved energy spectra, is used to investigate the ion fluxes and ion energy distribution functions (IEDF) of EUV-induced plasmas. A xenon pinch discharge produces EUV radiation, which is focused into a measuring vessel with a low pressure hydrogen environment. In this vessel photo ionization creates free electrons with energies up to 76 eV, which further ionize the background gas by electron impact ionization. Ions are sampled through a 50 μm orifice in the spectrometer's front plate. The influence of pressure and EUV power on the IEDF of the EUV-induces plasma are investigated. The results show the fast transformation of H2+ to H3+ by collisions with the background gas as a decrease in H2+ / H3+-ratio with pressure and distance to the EUV beam

    Radiating plasma species density distribution in EUV-induced plasma in argon: a spatiotemporal experimental study

    No full text
    In this contribution we experimentally study temporally and spatially resolved radiating plasma species density distribution in plasma induced by irradiating a low pressure argon gas with high energy photons with a wavelength of 13.5 nm, i.e. extreme ultraviolet (EUV). This is done by recording the optical emission spatially and temporally resolved by an iCCD camera as a function of the argon gas pressure. Our experimental results show that the emission intensity, i.e. density of radiating plasma species, depends quadratically on the gas pressure. The linear term is due to photoionization and simultaneous excitation by EUV photons, the quadratic term due to electron impact excitation by electrons generated by photoionization. The decay of radiating plasma species can be divided into two phases. At time scales shorter than 10 μs (first phase), the decay is governed by radiative decay of radiating plasma species. At longer time scales (second phase, >10 μs), the decay is dominated by diffusion and subsequent de-excitation at the wall. The experimental decay and expansion during this phase corresponds well with a simplified diffusion model. In order to gain more insight in this exotic type of plasma, we compare the electron density from previous measurements with the results obtained here

    EUV-induced plasma: a peculiar phenomenon of a modern lithographic technology

    Get PDF
    \u3cp\u3eAfter a long period of relatively low interest, science related to effects in the Extreme Ultraviolet (EUV) spectrum range experienced an explosive boom of publications in the last decades. A new application of EUV in lithography was the reason for such a growth. Naturally, an intensive development in such area produces a snowball effect of relatively uncharted phenomena. EUV-induced plasma is one of those. While being produced in the volume of a rarefied gas, it has a direct impact onto optical surfaces and construction materials of lithography machines, and thus has not only scientific peculiarity, but it is also of major interest for the technological application. The current article provides an overview of the existing knowledge regarding EUV-induced plasma characteristics. It describes common, as well as distinguishing, features of it in comparison with other plasmas and discusses its interaction with solid materials. This article will also identify the gaps in the existing knowledge and it will propose ways to bridge them.\u3c/p\u3
    corecore