23 research outputs found

    Ni-Al alloys as alternative EUV mask absorber

    Get PDF
    Extreme ultraviolet (EUV) lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D) effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM), is to use mask absorber materials with high extinction coefficient k and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials

    Mask Induced Polarization Effects at High NA

    Get PDF
    It is important to understand how a photomask will polarize incident radiation. This paper presents data collected on binary mask and various attenuated phase shifting mask materials, feature sizes, duty ratios, and illumination schemes via rigorous coupled wave analysis, extinction spectroscopy, and 193nm lithographic evaluation. Additionally, the result of polarization effects due to the photomask on imaging has been studied. It was found that in the majority of the cases, higher NA led to greater polarization effects. All mask materials predominantly pass the TM polarization state for the 0 order, whereas different materials and duty ratios affect the polarization of the first diffracted orders differently. The polarization effects contributed by mask materials being considered for use in high NA imaging systems need to be examined. The degree of polarization as a function of n and k is presented, providing an introduction to the desirable properties of future mask materials. Materials with higher refractive indices and lower extinction coefficients tend to pass more of the TM polarization state, which is undesirable. Materials with lower indices and relatively wide range of extinction coefficients pass more TE polarized radiation. The duty ratio, critical dimension, mask material, material thickness, and illumination scheme all influence mask induced polarization effects

    Update on optical material properties for alternative EUV mask absorber materials

    No full text
    The application of EUV lithography at the 7 nm node and below requires, among others, to reduce 3D mask effects like shadowing e.g. by introducing a thinner absorber structure. A search for new potential absorber materials with improved optical properties is done within the ECSEL JU project SeNaTe. The standard Ta-based absorber system of the actual photomasks has been optically characterized in detail as the benchmark. The results are in agreement with the optical data presently used in the optical modeling of EUV photomasks. As candidates for an alternative absorber material, Ni, Co, NiAl are investigated. For the investigation of the alternative EUV mask absorber materials, metal layers of several 10 nm were deposited on silicon wafers. At PTB the spectral reflectance was measured in the angular range from normal incidence to grazing incidence in a wavelength band from 10 nm to 16 nm using PTB’s lubricationfree Ellipso-Scatterometer at the soft X-ray radiometry beamline. The measured reflectance is then fitted using Fresnel’s equations to a layer model accounting for thickness and roughness of the metal layer and additional top-oxide and a SiO2 layer on the Si-substrate surface. We present here an update on the optical constants of Ni, Co and NiAl layers.status: publishe

    Ru/Ta bilayer approach to EUV mask absorbers: Experimental patterning and simulated imaging perspective

    No full text
    The optical properties and geometry of EUV mask absorbers play an essential role in determining the imaging performance of a mask in EUV lithography. Imaging metrics, including Normalized Image Log Slope (NILS), Telecentricity Error (TCE), and Best Focus Variation (BFV) through pitch deteriorate because of Mask 3-Dimensional (M3D) effects in EUV lithography, which limits the production efficiency. Alternative absorbers, including alloys of Ru and Ta, are anticipated to reduce some of the M3D effects; however, patterning these materials is challenging due to their low etch rates and poor etch selectivity against the Ru mask capping layer. Therefore, we propose a Ru/Ta bilayer approach to EUV mask absorbers and investigate it from a patterning and imaging standpoint. The top Ru layer thickness is calculated using the thin film interference phenomena, and we determine the bottom Ta layer that can produce improved NILS by utilizing the total absorber thickness optimization methodology. We demonstrate the patterning of the Ru/Ta bilayer using a two-step etch; the top Ru layer is patterned with Cl2-O2 Reactive Ion Etch (RIE), and the bottom Ta layer with Cl2-N2 RIE. The geometry and morphology of the patterned bilayer stack are investigated using TEM (Transmission Electron Microscopy), and interdiffusion at the interface of Ru and Ta is studied using EDS-STEM (Energy Dispersive X-ray Spectroscopy-Scanning Transmission Electron Microscopy). The non-ideal traits of the Ru/Ta bilayer stack, determined by experimental characterization techniques, are used to simulate the imaging performance and then compared against an ideal Ru/Ta bilayer stack, along with the reference Ta-based absorber. Even when non-idealities are considered, the simulation findings demonstrate that the Ru/Ta bilayer absorber exhibits improved NILS and reduced BFV compared to the Ta-based absorber. The outcomes encourage further research into the possibilities of multilayer absorbers, to tailor their optical characteristics by varying the thickness of individual layers

    Refined extreme ultraviolet mask stack model

    Get PDF
    A refined model of an extreme ultraviolet (EUV) mask stack consisting of the Mo/Si multilayer coated by a Ru protective layer and a TaBN/TaBO absorber layer was developed to facilitate accurate simulations of EUV mask performance for high-NA EUV photo-lithography (EUVL) imaging. The model is derived by combined analysis of the measured EUV and x ray reflectivity of an industry-representative mask blank. These two sets of measurements were analyzed using a combined free-form analysis procedure that delivers high-resolution x ray and EUV optical constant depth profiles based on self-adapted sets of sublayers as thin as 0.25 nm providing a more accurate description of the reflectivity than obtained from only EUV reflectivity. “Free-form analysis” means that the shape of the layer interfaces in the model is determined experimentally and is not given a priori by the structure model. To reduce the numerical effort for EUV imaging simulations, a low-resolution model of the multilayer and absorber stack with sublayer thicknesses larger than 2 nm, that fits to only the EUV reflectance, was derived from the high-resolution model. Rigorous high-NA EUVL simulations were done to compare the performance of the new model to our previous work

    Ni-Al Alloys as Alternative EUV Mask Absorber

    Get PDF
    Extreme ultraviolet (EUV) lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D) effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM), is to use mask absorber materials with high extinction coefficient Îș and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials
    corecore