9 research outputs found

    κ΅­λ©΄μ „ν™˜ ν˜Όν•© 코퓰라 λͺ¨ν˜•μœΌλ‘œ λΆ„μ„ν•œ ν™˜μœ¨ μœ„ν—˜κ³Ό ꡭ제 투자

    No full text
    ν•™μœ„λ…Όλ¬Έ (석사)-- μ„œμšΈλŒ€ν•™κ΅ λŒ€ν•™μ› : 산업·쑰선곡학뢀, 2012. 2. Oh, Hyung-Sik.Benefits of international diversification rely heavily on the degree of dependence across securities. In this paper, we extend the results of Garcia and Tsafack (2011) regime switching mixed copula model to include Asian countries and explain the effect of exchange rate risk on the asymmetric dependence. Bootstrapped likelihood ratio tests show that asymmetric dependence of international market appears insignificant for most of pairs of the countries when there is no exchange rate risk, while it appears significant with the presence of exchange rate risk. We show that exchange rate risk can generate asymmetric correlation, when there is unwinding of foreign investment.λΆ„μ‚°νˆ¬μžμ˜ νš¨κ³ΌλŠ” μžμ‚° κ°„μ˜ μ’…μ†μ„±μ˜ 정도에 크게 μ˜μ‘΄ν•œλ‹€. 이 μ—°κ΅¬λŠ” Garcia and Tsafack(2007)의 κ΅­λ©΄ μ „ν™˜ 코퓰라 λͺ¨ν˜•μ˜ κ²°κ³Όλ₯Ό ν™•μž₯ν•˜μ—¬ ν™˜μœ¨ μœ„ν—˜μ΄ λΉ„λŒ€μΉ­ 쒅속성에 λ―ΈμΉ˜λŠ” 영ν–₯을 λΆ„μ„ν•œλ‹€. Bootstrapped likelihood ratio testλŠ” ν™˜μœ¨ μœ„ν—˜μ΄ μ—†λŠ” μƒν™©μ—μ„œ λΉ„λŒ€μΉ­ 쒅속성이 μœ νš¨ν•˜μ§€ μ•Šμ€ κ²ƒμœΌλ‘œ λ‚˜νƒ€λ‚˜λŠ” 반면, ν™˜μœ¨ μœ„ν—˜μ΄ μžˆλŠ” μƒν™©μ—μ„œλŠ” λΉ„λŒ€μΉ­ 쒅속성이 μœ νš¨ν•˜κ²Œ λ‚˜νƒ€λ‚œλ‹€. λ³Έ μ—°κ΅¬λŠ” ν•΄μ™Έ 투자의 ν•΄μ†Œκ±°λž˜(unwinding)κ°€ μ‘΄μž¬ν•  λ•Œ ν™˜μœ¨ μœ„ν—˜μ΄ 이와 같이 λΉ„λŒ€μΉ­ 쒅속성을 μ‹¬ν™”μ‹œν‚¬ 수 μžˆμŒμ„ μ œμ‹œν•œλ‹€.Maste

    Sensitive, Linear, Robust Current-To-Time Converter Circuit for Vehicle Automation Application

    No full text
    Voltage-to-time and current-to-time converters have been used in many recent works as a voltage-to-digital converter for artificial intelligence applications. In general, most of the previous designs use the current-starved technique or a capacitor-based delay unit, which is non-linear, expensive, and requires a large area. In this paper, we propose a highly linear current-to-digital converter. An optimization method is also proposed to generate the optimal converter design containing the smallest number of PMOS and sensitive circuits such as a differential amplifier. This enabled our design to be more stable and robust toward negative bias temperature instability (NBTI) and process variation. The proposed converter circuit implements the point-wise conversion from current-to-time, and it can be used directly for a variety of applications, such as analog-to-digital converters (ADC), used in built-in computational random access (C-RAM) memory. The conversion gain of the proposed circuit is 3.86 ms/A, which is 52 times greater than the conversion gains of state-of-the-art designs. Further, various time-to-digital converter (TDC) circuits are reviewed for the proposed current-to-time converter, and we recommend one circuit for a complete ADC design

    A Novel Ultra-Low Power 8T SRAM-Based Compute-in-Memory Design for Binary Neural Networks

    No full text
    We propose a novel ultra-low-power, voltage-based compute-in-memory (CIM) design with a new single-ended 8T SRAM bit cell structure. Since the proposed SRAM bit cell uses a single bitline for CIM calculation with decoupled read and write operations, it supports a much higher energy efficiency. In addition, to separate read and write operations, the stack structure of the read unit minimizes leakage power consumption. Moreover, the proposed bit cell structure provides better read and write stability due to the isolated read path, write path and greater pull-up ratio. Compared to the state-of-the-art SRAM-CIM, our proposed SRAM-CIM does not require extra transistors for CIM vector-matrix multiplication. We implemented a 16 k (128 Γ— 128) bit cell array for the computation of 128Γ— neurons, and used 64Γ— binary inputs (0 or 1) and 64 Γ— 128 binary weights (βˆ’1 or +1) values for the binary neural networks (BNNs). Each row of the bit cell array corresponding to a single neuron consists of a total of 128 cells, 64Γ— cells for dot-product and 64Γ— replicas cells for ADC reference. Additionally, 64Γ— replica cells consist of 32Γ— cells for ADC reference and 32Γ— cells for offset calibration. We used a row-by-row ADC for the quantized outputs of each neuron, which supports 1–7 bits of output for each neuron. The ADC uses the sweeping method using 32Γ— duplicate bit cells, and the sweep cycle is set to 2Nβˆ’1+1, where N is the number of output bits. The simulation is performed at room temperature (27 Β°C) using 45 nm technology via Synopsys Hspice, and all transistors in bitcells use the minimum size considering the area, power, and speed. The proposed SRAM-CIM has reduced power consumption for vector-matrix multiplication by 99.96% compared to the existing state-of-the-art SRAM-CIM. Furthermore, because of the decoupled reading unit from an internal node of latch, there is no feedback from the reading unit, with read static noise, and margin-free results

    A Novel 8T XNOR-SRAM: Computing-in-Memory Design for Binary/Ternary Deep Neural Networks

    No full text
    Deep neural networks (DNNs) and Convolutional neural networks (CNNs) have improved accuracy in many Artificial Intelligence (AI) applications. Some of these applications are recognition and detection tasks, such as speech recognition, facial recognition and object detection. On the other hand, CNN computation requires complex arithmetic and a lot of memory access time; thus, designing new hardware that would increase the efficiency and throughput without increasing the hardware cost is much more critical. This area in hardware design is very active and will continue to be in the near future. In this paper, we propose a novel 8T XNOR-SRAM design for Binary/Ternary DNNs (TBNs) directly supporting the XNOR-Network and the TBN DNNs. The proposed SRAM Computing-in-Memory (CIM) can operate in two modes, the first of which is the conventional 6T SRAM, and the second is the XNOR mode. By adding two extra transistors to the conventional 6T structure, our proposed CIM showed an improvement up to 98% for power consumption and 90% for delay compared to the existing state-of-the-art XNOR-CIM

    Design of a Voltage to Time Converter with High Conversion Gain for Reliable and Secure Autonomous Vehicles

    No full text
    Automation of vehicles requires a secure, reliable, and real-time on-chip system. These systems also require very high-speed and compact on-chip analog to digital converters (ADC). The conventional ADC cannot fulfill this requirement. In this paper, we proposed a Darlington pair- and source biasing-based high speed, secure, and reliable voltage to time converter (VTC). It is a compact, high-speed design and gives high conversion gain. The source biasing also helps to increase the input voltage range. The conversion gain of the proposed circuit is 101.43ns/v, which is 52 times greater than the gain achieved by state-of-the-art design. It also shows less effect of process variation and bias temperature instability

    Low-Power RTL Code Generation for Advanced CNN Algorithms toward Object Detection in Autonomous Vehicles

    No full text
    In the implementation process of a convolution neural network (CNN)-based object detection system, the primary issues are power dissipation and limited throughput. Even though we utilize ultra-low power dissipation devices, the dynamic power dissipation issue will be difficult to resolve. During the operation of the CNN algorithm, there are several factors such as the heating problem generated from the massive computational complexity, the bottleneck generated in data transformation and by the limited bandwidth, and the power dissipation generated from redundant data access. This article proposes the low-power techniques, applies them to the CNN accelerator on the FPGA and ASIC design flow, and evaluates them on the Xilinx ZCU-102 FPGA SoC hardware platform and 45 nm technology for ASIC, respectively. Our proposed low-power techniques are applied at the register-transfer-level (RT-level), targeting FPGA and ASIC. In this article, we achieve up to a 53.21% power reduction in the ASIC implementation and saved 32.72% of the dynamic power dissipation in the FPGA implementation. This shows that our RTL low-power schemes have a powerful possibility of dynamic power reduction when applied to the FPGA design flow and ASIC design flow for the implementation of the CNN-based object detection system
    corecore