28 research outputs found

    Transient behavior of EUV emitting discharge plasmas : a study by optical methods

    Get PDF
    Pulsed discharge plasmas are considered to be important candidate sources of extreme ultraviolet (EUV) radiation for application in future lithography tools for the high-volume manufacturing of computer chips. Two specific types of such plasmas have been the subject of research in this work: (1) a hollow-cathode triggered source, developed by Philips EUV in Aachen, Germany; and (2) a laser-ignited discharge in tin vapor, from the Institute of Spectroscopy (ISAN) in Troitsk, Russia. In the evolution of the discharge pulses of these and similar types of EUV sources, generally four different phases can be distinguished. After a device-dependent ignition phase, a strong current starts to flow ("prepinch phase"). A Lorentz force, associated with the electric current, causes a strong radial compression of the plasma ("pinch phase"). After this, in the decay phase, the plasma cools down, expands, and finally dies out. For the further development and optimization of discharge plasma EUV sources, a better understanding of the plasma properties and dynamics is needed; to obtain such understanding, time-resolved measurements of the plasma properties are indispensable. Optical diagnostics are strongly preferred because they provide a lot of information about the plasma while in general they cause little or no disturbance of the plasma itself. In this work, a number of different optical diagnostic techniques have been applied to both types of discharges; their results are summarized below. First of all, time-resolved imaging of the plasma, both in the EUV and in the visible light ranges of the spectrum, serves mainly to obtain basic, qualitative understanding of the evolution of the discharge pulse. The visible light images help to visualize the parts of the plasma that are not hot enough to emit EUV radiation. Plasma imaging has, for instance, helped to identify a preferential direction of expansion of the plasma along the axis of symmetry in both types of discharges, with supersonic velocities of roughly 4×104 m s-1. Also, time and space resolved spectra have been recorded for the EUV wavelength range. These have shown, together with EUV plasma imaging, that high ionization stages already exist in a ring-shaped plasma in the prepinch phase, before the onset of compression. The recorded spectra have further been compared to EUV spectra produced by a computer model. This comparison gives information about which plasma processes play a prominent role in the studied part of the discharge; detailed consideration of, among others, radiative deexcitation and the influence of both Doppler and Stark broadening on the opacity of the radiation, proved to be important to produce good reproductions of the experimentally obtained spectra. Furthermore, the "lagging" of the ionization stage populations compared to the instantaneous electron temperature, had to be taken into account in the form of an effective net ionization rate—hence, the ionization stage population was shown to be essentially non-stationary. Finally, doubly excited states were shown to play a role in determining the shapes of the EUV spectra of both discharges. Best matches between simulated and experimental spectra were obtained with electron temperatures near 25 eV and pinch electron densities of about 1×1025 m-3 and 3×1025 m-3 for the xenon and tin plasmas, respectively. These and other plasma parameters agree fairly well with the results of other diagnostic techniques. The Stark broadening of specific spectral lines of tin ions in the visible wavelength range has been measured as a function of time during the evolution of the tin vapor discharge. A cross-calibration has led to the determination of four new Stark broadening parameters for lines of doubly ionized tin. From the spectral line widths, information about electron densities for various parts of the plasma evolution have been derived. Finally, the Thomson scattering (TS) technique has been applied to the tin vapor discharge to determine space and time resolved electron temperatures and densities simultaneously. First experiments were performed with an existing TS setup. However, to obtain a better ratio between the TS signal and the background radiation, generated by the plasma itself, a new setup for sub-ns Thomson scattering has been designed and built. A laser with a shorter pulse duration, a camera with a shorter gate time, and improved synchronization between the two, together have enabled this signal-to-background ratio to be improved by more than an order of magnitude. This has greatly expanded the applicability of the TS technique to EUV generating discharge plasmas. In application to the tin vapor discharge, electron temperatures and densities of up to about 10 eV and 2×1023 m-3, respectively, have been found for the laser-induced ignition plasma. Once the electron density near the anode reaches a value of around 2×1022 m-3, an electric current can start to flow. In the subsequent high-current phase, both densities and temperatures increase fast, with temperatures reaching to about 30 eV after 100 ns. Especially in the beginning of this phase, the plasma exhibits a hollow radial temperature profile. Compression leads to the pinch phase, in which electron densities on the order of 3×1025 m-3 are achieved. After the end of the pinch phase, additional evaporation of tin from the cathode results in a cooler plasma with relatively slowly decreasing electron densities, from initially above 1024 m-3 to around 1021 m-3 after 4 µs. Nearly all densities, except for those in the pinch phase, have been confirmed independently by the Stark broadening results. The experiments described above have led, first of all, to a more complete understanding of the plasma evolution. Certain phenomena have been confirmed, others have been newly discovered—such as the aforementioned EUV emission from a ring-shaped plasma. Furthermore, extensive information, both temporally and spatially resolved, has been gathered on the electron temperatures and densities in the tin vapor discharge. Such data could serve as a benchmark for future computer simulations of the evolution of discharge plasmas

    Study of Surface Damage in Silicon by Irradiation with Focused Rubidium Ions

    Get PDF
    Cold atom ion sources have been developed and commercialized as alternative sources for focused ion beams (FIB). So far, applications and related research have not been widely reported. In this paper, a prototype rubidium FIB is used to study the irradiation damage of 8.5 keV beam energy Rb+^+ ions on silicon to examine the suitability of rubidium for nanomachining applications. Transmission electron microscopy combined with energy dispersive X-ray spectroscopy is applied to silicon samples irradiated by different doses of rubidium ions. The experimental results show a duplex damage layer consisting of an outer layer of oxidation without Rb and an inner layer containing Rb mostly at the interface to the underlying Si substrate. The steady-state damage layer is measured to be 23.2(±0.3)23.2(\pm 0.3) nm thick with a rubidium staining level of 7(±1)7(\pm1) atomic percentage

    Study of surface damage in silicon by irradiation with focused rubidium ions using a cold-atom ion source

    Get PDF
    Cold-atom ion sources have been developed and commercialized as alternative sources for focused ion beams (FIBs). So far, applications and related research have not been widely reported. In this paper, a prototype rubidium FIB is used to study the irradiation damage of 8.5 keV beam energy Rb + ions on silicon to examine the suitability of rubidium for nanomachining applications. Transmission electron microscopy combined with energy dispersive x-ray spectroscopy is applied to silicon samples irradiated by different doses of rubidium ions. The experimental results show a duplex damage layer consisting of an outer layer of oxidation without Rb and an inner layer containing Rb mostly at the interface to the underlying Si substrate. The steady-state damage layer is measured to be 23.2(±0.3)  nm thick with a rubidium staining level of 7(±1) atomic percentage

    Characterization of a vacuum-arc discharge in tin vapor using time-resolved plasma imaging and extreme ultraviolet spectrometry

    Get PDF
    Discharge sources in tin vapor have recently been receiving increased attention as candidate extreme ultraviolet (EUV) light sources for application in semiconductor lithography, because of their favorable spectrum near 13.5 nm. In the ASML EUV laboratory, time-resolved pinhole imaging in the EUV and two-dimensional imaging in visible light have been applied for qualitative characterization of the evolution of a vacuum-arc tin vapor discharge. An EUV spectrometer has been used to find the dominant ionization stages of tin as a function of time during the plasma evolution of the discharge

    Beam pulsing device for use in charged-particle microscopy

    Get PDF
    A charged-particle microscope comprising: - A charged-particle source, for producing a beam of charged particles that propagates along a particle-optical axis; - A sample holder, for holding and positioning a sample; - A charged-particle lens system, for directing said beam onto a sample held on the sample holder; - A detector, for detecting radiation emanating from the sample as a result of its interaction with the beam; - A beam pulsing device, for causing the beam to repeatedly switch on and off so as to produce a pulsed beam, wherein the beam pulsing device comprises a unitary resonant cavity disposed about said particle-optical axis and having an entrance aperture and an exit aperture for the beam, which resonant cavity is embodied to simultaneously produce a first oscillatory deflection of the beam at a first frequency in a first direction and a second oscillatory deflection of the beam at a second, different frequency in a second, different direction. The resonant cavity may have an elongated (e.g. rectangular or elliptical) cross-section, with a long axis parallel to said first direction and a short axis parallel to said second direction

    Comparison of outcome and characteristics between 6343 COVID-19 patients and 2256 other community-acquired viral pneumonia patients admitted to Dutch ICUs

    Get PDF
    Purpose: Describe the differences in characteristics and outcomes between COVID-19 and other viral pneumonia patients admitted to Dutch ICUs. Materials and methods: Data from the National-Intensive-Care-Evaluation-registry of COVID-19 patients admitted between February 15th and January 1th 2021 and other viral pneumonia patients admitted between January 1st 2017 and January 1st 2020 were used. Patients' characteristics, the unadjusted, and adjusted in-hospital mortality were compared. Results: 6343 COVID-19 and 2256 other viral pneumonia patients from 79 ICUs were included. The COVID-19 patients included more male (71.3 vs 49.8%), had a higher Body-Mass-Index (28.1 vs 25.5), less comorbidities (42.2 vs 72.7%), and a prolonged hospital length of stay (19 vs 9 days). The COVID-19 patients had a significantly higher crude in-hospital mortality rate (Odds ratio (OR) = 1.80), after adjustment for patient characteristics and ICU occupancy rate the OR was respectively 3.62 and 3.58. Conclusion: Higher mortality among COVID-19 patients could not be explained by patient characteristics and higher ICU occupancy rates, indicating that COVID-19 is more severe compared to other viral pneumonia. Our findings confirm earlier warnings of a high need of ICU capacity and high mortality rates among relatively healthy COVID-19 patients as this may lead to a higher mental workload for the staff. (c) 2021 The Authors. Published by Elsevier Inc. This is an open access article under the CC BY license (http:// creativecommons.org/licenses/by/4.0/)

    Transient behavior of EUV emitting discharge plasmas : a study by optical methods

    No full text
    Pulsed discharge plasmas are considered to be important candidate sources of extreme ultraviolet (EUV) radiation for application in future lithography tools for the high-volume manufacturing of computer chips. Two specific types of such plasmas have been the subject of research in this work: (1) a hollow-cathode triggered source, developed by Philips EUV in Aachen, Germany; and (2) a laser-ignited discharge in tin vapor, from the Institute of Spectroscopy (ISAN) in Troitsk, Russia. In the evolution of the discharge pulses of these and similar types of EUV sources, generally four different phases can be distinguished. After a device-dependent ignition phase, a strong current starts to flow ("prepinch phase"). A Lorentz force, associated with the electric current, causes a strong radial compression of the plasma ("pinch phase"). After this, in the decay phase, the plasma cools down, expands, and finally dies out. For the further development and optimization of discharge plasma EUV sources, a better understanding of the plasma properties and dynamics is needed; to obtain such understanding, time-resolved measurements of the plasma properties are indispensable. Optical diagnostics are strongly preferred because they provide a lot of information about the plasma while in general they cause little or no disturbance of the plasma itself. In this work, a number of different optical diagnostic techniques have been applied to both types of discharges; their results are summarized below. First of all, time-resolved imaging of the plasma, both in the EUV and in the visible light ranges of the spectrum, serves mainly to obtain basic, qualitative understanding of the evolution of the discharge pulse. The visible light images help to visualize the parts of the plasma that are not hot enough to emit EUV radiation. Plasma imaging has, for instance, helped to identify a preferential direction of expansion of the plasma along the axis of symmetry in both types of discharges, with supersonic velocities of roughly 4×104 m s-1. Also, time and space resolved spectra have been recorded for the EUV wavelength range. These have shown, together with EUV plasma imaging, that high ionization stages already exist in a ring-shaped plasma in the prepinch phase, before the onset of compression. The recorded spectra have further been compared to EUV spectra produced by a computer model. This comparison gives information about which plasma processes play a prominent role in the studied part of the discharge; detailed consideration of, among others, radiative deexcitation and the influence of both Doppler and Stark broadening on the opacity of the radiation, proved to be important to produce good reproductions of the experimentally obtained spectra. Furthermore, the "lagging" of the ionization stage populations compared to the instantaneous electron temperature, had to be taken into account in the form of an effective net ionization rate—hence, the ionization stage population was shown to be essentially non-stationary. Finally, doubly excited states were shown to play a role in determining the shapes of the EUV spectra of both discharges. Best matches between simulated and experimental spectra were obtained with electron temperatures near 25 eV and pinch electron densities of about 1×1025 m-3 and 3×1025 m-3 for the xenon and tin plasmas, respectively. These and other plasma parameters agree fairly well with the results of other diagnostic techniques. The Stark broadening of specific spectral lines of tin ions in the visible wavelength range has been measured as a function of time during the evolution of the tin vapor discharge. A cross-calibration has led to the determination of four new Stark broadening parameters for lines of doubly ionized tin. From the spectral line widths, information about electron densities for various parts of the plasma evolution have been derived. Finally, the Thomson scattering (TS) technique has been applied to the tin vapor discharge to determine space and time resolved electron temperatures and densities simultaneously. First experiments were performed with an existing TS setup. However, to obtain a better ratio between the TS signal and the background radiation, generated by the plasma itself, a new setup for sub-ns Thomson scattering has been designed and built. A laser with a shorter pulse duration, a camera with a shorter gate time, and improved synchronization between the two, together have enabled this signal-to-background ratio to be improved by more than an order of magnitude. This has greatly expanded the applicability of the TS technique to EUV generating discharge plasmas. In application to the tin vapor discharge, electron temperatures and densities of up to about 10 eV and 2×1023 m-3, respectively, have been found for the laser-induced ignition plasma. Once the electron density near the anode reaches a value of around 2×1022 m-3, an electric current can start to flow. In the subsequent high-current phase, both densities and temperatures increase fast, with temperatures reaching to about 30 eV after 100 ns. Especially in the beginning of this phase, the plasma exhibits a hollow radial temperature profile. Compression leads to the pinch phase, in which electron densities on the order of 3×1025 m-3 are achieved. After the end of the pinch phase, additional evaporation of tin from the cathode results in a cooler plasma with relatively slowly decreasing electron densities, from initially above 1024 m-3 to around 1021 m-3 after 4 µs. Nearly all densities, except for those in the pinch phase, have been confirmed independently by the Stark broadening results. The experiments described above have led, first of all, to a more complete understanding of the plasma evolution. Certain phenomena have been confirmed, others have been newly discovered—such as the aforementioned EUV emission from a ring-shaped plasma. Furthermore, extensive information, both temporally and spatially resolved, has been gathered on the electron temperatures and densities in the tin vapor discharge. Such data could serve as a benchmark for future computer simulations of the evolution of discharge plasmas

    Characterization of highly transient EUV emitting discharges

    Get PDF
    The method of disturbed Bilateral Relations (dBR) is used to characterize highly transient plasmas that are used for the generation of Extreme Ultra Violet (EUV), i.e. radiation with a wavelength around 13.5 nm. This dBR method relates equilibrium disturbing to equilibrium restoring processes and follows the degree of equilibrium departure from the global down to the elementary plasma-level. The study gives global values of the electron density and electron temperature. Moreover, it gives a method to construct the atomic state distribution function (ASDF). This ASDF, which is responsible for the spectrum generated by the discharge, is found to be far from equilibrium. There are two reasons for this: first, systems with high charge numbers radiate strongly, second the highly transient behaviour makes that the distribution over the various ionization stages lags behind the temperature evolution
    corecore