Transient behavior of EUV emitting discharge plasmas : a study by optical methods

Abstract

Pulsed discharge plasmas are considered to be important candidate sources of extreme ultraviolet (EUV) radiation for application in future lithography tools for the high-volume manufacturing of computer chips. Two specific types of such plasmas have been the subject of research in this work: (1) a hollow-cathode triggered source, developed by Philips EUV in Aachen, Germany; and (2) a laser-ignited discharge in tin vapor, from the Institute of Spectroscopy (ISAN) in Troitsk, Russia. In the evolution of the discharge pulses of these and similar types of EUV sources, generally four different phases can be distinguished. After a device-dependent ignition phase, a strong current starts to flow ("prepinch phase"). A Lorentz force, associated with the electric current, causes a strong radial compression of the plasma ("pinch phase"). After this, in the decay phase, the plasma cools down, expands, and finally dies out. For the further development and optimization of discharge plasma EUV sources, a better understanding of the plasma properties and dynamics is needed; to obtain such understanding, time-resolved measurements of the plasma properties are indispensable. Optical diagnostics are strongly preferred because they provide a lot of information about the plasma while in general they cause little or no disturbance of the plasma itself. In this work, a number of different optical diagnostic techniques have been applied to both types of discharges; their results are summarized below. First of all, time-resolved imaging of the plasma, both in the EUV and in the visible light ranges of the spectrum, serves mainly to obtain basic, qualitative understanding of the evolution of the discharge pulse. The visible light images help to visualize the parts of the plasma that are not hot enough to emit EUV radiation. Plasma imaging has, for instance, helped to identify a preferential direction of expansion of the plasma along the axis of symmetry in both types of discharges, with supersonic velocities of roughly 4×104 m s-1. Also, time and space resolved spectra have been recorded for the EUV wavelength range. These have shown, together with EUV plasma imaging, that high ionization stages already exist in a ring-shaped plasma in the prepinch phase, before the onset of compression. The recorded spectra have further been compared to EUV spectra produced by a computer model. This comparison gives information about which plasma processes play a prominent role in the studied part of the discharge; detailed consideration of, among others, radiative deexcitation and the influence of both Doppler and Stark broadening on the opacity of the radiation, proved to be important to produce good reproductions of the experimentally obtained spectra. Furthermore, the "lagging" of the ionization stage populations compared to the instantaneous electron temperature, had to be taken into account in the form of an effective net ionization rate—hence, the ionization stage population was shown to be essentially non-stationary. Finally, doubly excited states were shown to play a role in determining the shapes of the EUV spectra of both discharges. Best matches between simulated and experimental spectra were obtained with electron temperatures near 25 eV and pinch electron densities of about 1×1025 m-3 and 3×1025 m-3 for the xenon and tin plasmas, respectively. These and other plasma parameters agree fairly well with the results of other diagnostic techniques. The Stark broadening of specific spectral lines of tin ions in the visible wavelength range has been measured as a function of time during the evolution of the tin vapor discharge. A cross-calibration has led to the determination of four new Stark broadening parameters for lines of doubly ionized tin. From the spectral line widths, information about electron densities for various parts of the plasma evolution have been derived. Finally, the Thomson scattering (TS) technique has been applied to the tin vapor discharge to determine space and time resolved electron temperatures and densities simultaneously. First experiments were performed with an existing TS setup. However, to obtain a better ratio between the TS signal and the background radiation, generated by the plasma itself, a new setup for sub-ns Thomson scattering has been designed and built. A laser with a shorter pulse duration, a camera with a shorter gate time, and improved synchronization between the two, together have enabled this signal-to-background ratio to be improved by more than an order of magnitude. This has greatly expanded the applicability of the TS technique to EUV generating discharge plasmas. In application to the tin vapor discharge, electron temperatures and densities of up to about 10 eV and 2×1023 m-3, respectively, have been found for the laser-induced ignition plasma. Once the electron density near the anode reaches a value of around 2×1022 m-3, an electric current can start to flow. In the subsequent high-current phase, both densities and temperatures increase fast, with temperatures reaching to about 30 eV after 100 ns. Especially in the beginning of this phase, the plasma exhibits a hollow radial temperature profile. Compression leads to the pinch phase, in which electron densities on the order of 3×1025 m-3 are achieved. After the end of the pinch phase, additional evaporation of tin from the cathode results in a cooler plasma with relatively slowly decreasing electron densities, from initially above 1024 m-3 to around 1021 m-3 after 4 µs. Nearly all densities, except for those in the pinch phase, have been confirmed independently by the Stark broadening results. The experiments described above have led, first of all, to a more complete understanding of the plasma evolution. Certain phenomena have been confirmed, others have been newly discovered—such as the aforementioned EUV emission from a ring-shaped plasma. Furthermore, extensive information, both temporally and spatially resolved, has been gathered on the electron temperatures and densities in the tin vapor discharge. Such data could serve as a benchmark for future computer simulations of the evolution of discharge plasmas

    Similar works