83 research outputs found

    The role of copper in the electronics industry as an interconnecting agent

    Get PDF
    Mendeleev to Periodic table: “Dear PT, according to you, which is the most boring element of them all?” PT: “My Lord, Maybe copper?” Mendeleev: “Why do you say this?” PT: “It gets very boring for me. Unlike the other transition elements, for example iron, nickel, cobalt, which show magnetism, copper has no choice but to settle with one spin. Such monotony in spin makes it quite boring, I think.” Mendeleev:- “Do you understand the beauty of copper? Do you know that it could change the modern electronics industry?” PT: “No, never thought of that.” Mendeleev: “Then listen to me carefully

    Quantum chemical study of the effect of precursor stereochemistry on dissociative chemisorption and surface redox reactions during the atomic layer deposition of the transition metal copper

    Get PDF
    Using quantum chemical calculations, we investigate surface reactions of copper precursors and diethylzinc as the reducing agent for effective Atomic Layer Deposition (ALD) of Cu. The adsorption of various commonly used Cu(II) precursors is explored. The precursors vary in the electronegativity and conjugation of the ligands and flexibility of the whole molecule. Our study shows that the overall stereochemistry of the precursor governs the adsorption onto its surface. Formation of different Cu(II)/Cu(I)/Cu(0) intermediate complexes from the respective Cu(II) compounds on the surface is also explored. The surface model is a (111) facet of a Cu55 cluster. Cu(I) compounds are found to cover the surface after the precursor pulse, irrespective of the precursor chosen. We provide new information about the surface chemistry of Cu(II) versus Cu(I) compounds. A pair of CuEt intermediates or the dimer Cu2Et2 reacts in order to deposit a new Cu atom and release gaseous butane. In this reaction, two electrons from the Et anions are donated to copper for reduction to metallic form. This indicates that a ligand exchange between the Cu and Zn is important for the success of this transmetalation reaction. The effect of the ligands in the precursor on the electron density before and after adsorption onto the surface has also been computed through population analysis. In the Cu(I) intermediate, charge is delocalized between the Cu precursor and the bare copper surface, indicating metallic bonding as the precursor densifies to the surface

    Mechanism for the atomic layer deposition of copper using diethylzinc as the reducing agent – a density functional theory study using gas phase molecules as a model

    Get PDF
    We present theoretical studies based on first-principles density functional theory calculations for the possible gas-phase mechanism of the atomic layer deposition (ALD) of copper by transmetalation from common precursors such as Cu(acac)(2), Cu(hfac)(2), Cu(PyrIm(R))(2) with R = (i)Pr and Et, Cu(dmap)(2), and CuCl(2) where diethylzinc acts as the reducing agent. An effect on the geometry and reactivity of the precursors due to differences in electronegativity, steric hindrance, and conjugation present in the ligands was observed. Three reaction types, namely, disproportionation, ligand exchange, and reductive elimination, were considered that together comprise the mechanism for the formation of copper in its metallic state starting from the precursors. A parallel pathway for the formation of zinc in its metallic form was also considered. The model Cu(I) molecule Cu(2)L(2) was studied, as Cu(I) intermediates at the surface play an important role in copper deposition. Through our study, we found that accumulation of an LZnEt intermediate results in zinc contamination by the formation of either Zn(2)L(2) or metallic zinc. Ligand exchange between Cu(II) and Zn(II) should proceed through a Cu(I) intermediate, as otherwise, it would lead to a stable copper molecule rather than copper metal. Volatile ZnL(2) favors the ALD reaction, as it carries the reaction forward

    Copper reduction and atomic layer deposition by oxidative decomposition of formate by hydrazine

    Get PDF
    We have used density functional theory (DFT) to study the mechanism of three step atomic layer deposition (ALD) of copper via formate and hydrazine. The technique holds promise for deposition of other transition metals

    Copper(I) carbene hydride complexes acting both as reducing agent and precursor for Cu ALD: a study through density functional theory

    Get PDF
    We propose dual functional copper complexes that may act both as reducing agents and as Cu sources for prospective Cu atomic layer deposition. The example here is a CuH carbene complex, which can donate the H− anion to another Cu precursor forming neutral by-products and metallic Cu(0). We compute that such a reaction is thermodynamically possible because the Cu–H bond is weaker than that of Cu–C (from the carbene). Most other neutral ligands such as PPh3 and BEt3 show opposite order of bond strengths. We also find that substitution in the carbene by electronegative groups reduces the Cu–H bond strength. This further facilitates the donation of H− to the surface. The most promising copper carbene precursor is computed to be 1,3-diphenyl-4,5-imidazolidinedithione copper hydride (S-NHC)–CuH

    Classification of processes for the atomic layer deposition of metals based on mechanistic information from density functional theory calculations

    Get PDF
    Reaction cycles for the atomic layer deposition (ALD) of metals are presented, based on the incomplete data that exist about their chemical mechanisms, particularly from density functional theory (DFT) calculations. ALD requires self-limiting adsorption of each precursor, which results from exhaustion of adsorbates from previous ALD pulses and possibly from inactivation of the substrate through adsorption itself. Where the latter reaction does not take place, an “abbreviated cycle” still gives self-limiting ALD, but at a much reduced rate of deposition. Here, for example, ALD growth rates are estimated for abbreviated cycles in H2-based ALD of metals. A wide variety of other processes for the ALD of metals are also outlined and then classified according to which a reagent supplies electrons for reduction of the metal. Detailed results on computing the mechanism of copper ALD by transmetallation are summarized and shown to be consistent with experimental growth rates. Potential routes to the ALD of other transition metals by using complexes of non-innocent diazadienyl ligands as metal sources are also evaluated using DFT

    Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor

    Get PDF
    Two novel N-heterocyclic carbene (NHC)-containing copper(I) amides are reported as atomic layer deposition (ALD) precursors. 1,3-Diisopropyl-imidazolin-2-ylidene copper hexamethyldisilazide (1) and 4,5-dimethyl-1,3-diisopropyl-imidazol-2-ylidene copper hexamethyldisilazide (2) were synthesized and structurally characterized. The thermal behavior of both compounds was studied by thermogravimetric analysis (TGA), and they were both found to be reasonably volatile compounds. Compound 1 had no residual mass in the TGA and showed long-term stability at temperatures as high as 130 °C, while 2 had a residual mass of 7.4%. Copper metal with good resistivity was deposited using 1 by plasma-enhanced atomic layer deposition. The precursor demonstrated self-limiting behavior indicative of ALD, and gave a growth rate of 0.2 Å/cycle. Compound 2 was unsuccessful as an ALD precursor under similar conditions. Density functional theory calculations showed that both compounds adsorb dissociatively onto a growing copper film as long as there is some atomic roughness, via cleavage of the Cu-carbene bond

    A minimal set of internal control genes for gene expression studies in head and neck squamous cell carcinoma

    Get PDF
    Selection of the right reference gene(s) is crucial in the analysis and interpretation of gene expression data. The aim of the present study was to discover and validate a minimal set of internal control genes in head and neck tumor studies. We analyzed data from multiple sources (in house whole-genome gene expression microarrays, previously published quantitative real-time PCR (qPCR) data and RNA-seq data from TCGA) to come up with a list of 18 genes (discovery set) that had the lowest variance, a high level of expression across tumors, and their matched normal samples. The genes in the discovery set were ranked using four different algorithms (BestKeeper, geNorm, NormFinder, and comparative delta Ct) and a web-based comparative tool, RefFinder, for their stability and variance in expression across tissues. Finally, we validated their expression using qPCR in an additional set of tumor:matched normal samples that resulted in five genes (RPL30, RPL27, PSMC5, MTCH1, and OAZ1), out of which RPL30 and RPL27 were most stable and were abundantly expressed across the tissues. Our data suggest that RPL30 or RPL27 in combination with either PSMC5 or MTCH1 or OAZ1 can be used as a minimal set of control genes in head and neck tumor gene expression studies
    corecore